DE102004021085A1 - Eine Technik zum Verringern der Rauhigkeit von Metallleitungen in einer Metallisierungsschicht - Google Patents

Eine Technik zum Verringern der Rauhigkeit von Metallleitungen in einer Metallisierungsschicht Download PDF

Info

Publication number
DE102004021085A1
DE102004021085A1 DE102004021085A DE102004021085A DE102004021085A1 DE 102004021085 A1 DE102004021085 A1 DE 102004021085A1 DE 102004021085 A DE102004021085 A DE 102004021085A DE 102004021085 A DE102004021085 A DE 102004021085A DE 102004021085 A1 DE102004021085 A1 DE 102004021085A1
Authority
DE
Germany
Prior art keywords
layer
dielectric
semiconductor device
etching
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102004021085A
Other languages
English (en)
Inventor
Kai Frohberg
Matthias Schaller
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102004021085A priority Critical patent/DE102004021085A1/de
Priority to US11/043,200 priority patent/US7279415B2/en
Publication of DE102004021085A1 publication Critical patent/DE102004021085A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Es wird ein vereinfachter dielektrischer Schichtstapel für die erste Metallisierungsschicht in Verbindung mit einem verbesserten anisotropen Ätzprozess bereitgestellt, wobei das Ätzen am Grabenrand bei einem Strukturierungsprozess auf der Grundlage einer 193 nm Lithographie reduziert ist. In dem vereinfachten Schichtstapel kann eine unten liegende dielektrische Schicht mit kleinem epsilon weggelassen werden, wodurch die Herstellungskosten verringert werden, während die Produktleistungsfähigkeit durch Absenken der Leckströme in der ersten Metallisierungsschicht verbessert wird.

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Die vorliegende Erfindung betrifft im Allgemeinen die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallleitungen, die in einem dielektrischen Material mit kleinem ε ausgebildet sind, wobei die Abmessungen der Metallleitungsgräben durch eine 193 nm-Lithographie-Technik definiert werden können.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • Die Herstellung einer integrierten Schaltung ist ein äußerst komplexer Prozess, der bis zu 500 oder mehr einzelne Prozessschritte bei hochentwickelten Halbleiterbauelementen, etwa Mikroprozessoren, Speicherbausteine, ASIC's (anwendungsspezifische integrierte Schaltung) und dergleichen erfordert, wobei einer der entscheidendsten Prozessschritte die lithographische Abbildung eines spezifizierten Schaltungsmusters, das auf einer Maske oder einem Retikel vorliegt, in eine Lackschicht, die auf einem Substrat ausgebildet ist, und das anschließende Strukturieren der Lackschicht ist. Die strukturierte Lackschicht wird dann als eine Maske für das nachfolgende Strukturieren der darunter liegenden Materialschichten durch beispielsweise anisotrope Ätzprozesse, Ionenimplantation, und dergleichen verwendet.
  • Auf dem Gebiet der Herstellung integrierter Schaltungen gibt es ein stetiges Bestreben, die Strukturgrößen von Schaltungselementen ständig zu verringern, so dass reproduzierbare und robuste Prozesse ständig entwickelt und/oder angepasst werden müssen an anspruchsvollere Prozessbedingungen. Gegenwärtig weisen hoch entwickelte integrierte Schaltungen, die als Massenprodukte erhältlich sind, Schaltungselemente mit Abmessungen auf, die deutlich unterhalb des optischen Auflösungsvermögens der Lithographievorrichtungen liegen, die zum Abbilden eines Musters von dem Retikel in die spezifizierte Materialschicht, die auf dem Substrat ausgebildet ist, verwendet werden. Die Herstellung von Schaltungselementen mit Abmessungen, die kleiner als die Wellenlänge der Belichtungsstrahlung sind, die für die Lithographie benutzt wird, beruht auf einem äußerst nicht linearen Verhalten der benutzten Lackmaterialien und auf anderen Prozesstechniken, etwa Schrumpfätzprozesse und dergleichen. Trotz dieser nicht optischen Prozesstechniken zur Verringerung der Abmessungen von Lackstrukturelementen oder zum Erhalten minimaler Strukturgrößen, ist es dennoch notwendig, auch die Belichtungswellenlänge entsprechend den gewünschten minimalen kritischen Abmessungen zu verringern, um die geforderte Genauigkeit in diesen nachfolgenden Prozessen beizubehalten. Minimale Abmessungen von Schaltungselementen in hoch entwickelten Halbleiterbauelementen betragen gegenwärtig weniger als 100 nm, wobei die Belichtungswellenlänge gegenwärtig von 248 nm auf 193 nm reduziert wird, wodurch viele Prozesse, die bei einer Belichtungswellenlänge von 248 nm gut etabliert sind, nicht mehr mit Entwurfs- und Prozesserfordernissen, beispielsweise in Hinblick auf Zuverlässigkeit und Produktionsausbeute, kompatibel sind.
  • Wie zuvor dargelegt ist, ist die Gesamtauflösung des zuverlässigen Übertragens von Schaltungsmustern von einer Lithographiemaske auf ein Substrat einerseits durch die intrinsische optische Auflösung der Photolithographievorrichtung, den Eigenschaften der Materialien, die bei dem photographischen Strukturierungsprozess beteiligt sind, etwa dem Photolack und antireflektierenden Beschichtungen (ARC), die vorgesehen sind, um nachteilige Streueffekte und Effekte von stehenden Wellen in dem Photolack zu minimieren, bestimmt, und andererseits durch Abscheide- und Ätzprozeduren, die bei der Herstellung der Lack- und ARC-Schichten und beim Ätzen dieser Schichten nach dem Belichten beteiligt sind. Insbesondere das äußerst nicht lineare Verhalten des Photolacks in Verbindung mit modernsten ARC-Schichten und Lithographiemaskentechniken ermöglichen die Herstellung von Lackmustern mit Abmessungen, die deutlich unterhalb des intrinsischen optischen Auflösungsvermögens der photolithographischen Vorrichtung liegen. Da die Vielzahl der Prozessschritte, die schließlich zum Herstellen eines Schaltungselements mit spezifizierten Abmessungen beteiligt sind, sich gegenseitig beeinflussen, kann die Änderung eines Prozesses oder Materials für gewöhnlich große Anstrengungen bei der Entwicklung einer neuen geeigneten Prozesssequenz erfordern, die den neuen Entwurfs- und Prozesserfordernissen genügt.
  • Die Entwicklung integrierter Schaltungen mit erhöhter Funktionalität und verbessertem Leistungsverhalten erfordert nicht nur die Verringerung der kritischen Strukturgrößen, sondern erfordert auch die Einführung neuer Materialien. Ein wichtiger Aspekt bei der Verbesserung des Leistungsverhaltens integrierter Schaltungen ist die Verringerung der parasitären Kapazitäten, um damit die durch die intrinsischen Leitungswiderstände und die parasitäre Ka pazität zwischen benachbarten Metallleitungen hervorgerufene Signalausbreitungsverzögerung zu verringern. Daher werden in modernen integrierten Schaltungen zunehmend Metallleitungen auf Aluminiumbasis durch Metallleitungen auf Kupferbasis ersetzt, wobei die Metallleitungen auf Kupferbasis typischerweise in ein dielektrisches Material eingebettet sind, das eine verringerte relative Permittivität im Bereich von beispielsweise kleiner als 3,1 aufweist, das im Weiteren hierin auch als dielektrische Materialien mit kleinem ε bezeichnet wird. Die Metallleitungen, die für die elektrische Verbindung zwischen den Schaltungselementen entsprechend dem spezifizierten Schaltungsentwurf sorgen, erhalten typischerweise ebenso geringere Abmessungen, wenn die entsprechenden Strukturgrößen der Schaltungselemente verringert werden. Die Metallleitungen sind typischerweise in mehreren Ebenen, die auch als Metallisierungsschichten bezeichnet werden, angeordnet, die aufeinander gestapelt sind, wobei die elektrische Verbindung zwischen einzelnen Metallisierungsschichten durch sogenannte Kontaktdurchführungen hergestellt wird. Auf Grund der reduzierten Abmessungen der Metallleitungen und Kontaktdurchführungen sind moderat hohe Stromdichten typischerweise während des Betriebs moderner integrierter Schaltungen anzutreffen, die eine präzise Kontrolle der Metallleitungen und der Kontaktdurchführungen erfordern, um nicht unnötig die Bauteilzuverlässigkeit, die durch einen Leitungsausfall, erhöhte Leckströme, und dergleichen hervorgerufen wird, zu beeinträchtigen.
  • Mit Bezug zu den 1a bis 1d wird nun eine konventionelle Prozesssequenz detaillierter beschrieben, in der die Probleme erläutert sind, die beim Übergang von einer gut etablierten Herstellungssequenz auf Basis eines 248 nm-Prozesses zu einem Prozess auf Basis von 193 nm für Metallleitungen in der ersten Metallisierungsschicht auftreten.
  • In 1a umfasst ein Halbleiterbauelement 100 ein Substrat 101, auf dem ein Schaltungselement 102 ausgebildet ist. Eine erste dielektrische Schicht 103 ist über dem Substrat 101 gebildet und umschließt das Schaltungselement 102. In der ersten dielektrischen Schicht 103 ist ein Kontaktelement 104 aus einem elektrisch leitenden Material, das beispielsweise Wolfram, Wolframsilizid, und dergleichen enthält, gebildet, wobei das Kontaktelement 104 sich durch die erste dielektrische Schicht 103 so erstreckt, um elektrischen Kontakt zu dem Schaltungselement 102 oder einem Teil davon herzustellen. Somit isoliert die erste dielektrische Schicht 103, die aus Siliziumdioxid und/oder Siliziumnitrid aufgebaut sein kann, elektrisch das Kontaktelement 104 und das Schaltungselement 102 von benachbarten Kontaktelementen und Schaltungselementen (nicht gezeigt). Über der ersten dielektrischen Schicht 103 ist eine dielektrische Ätzstoppschicht 105 angeordnet, die ein dielektrisches Material mit einer geringen Permittivität aufweist, wie es erforderlich ist, um die parasitäre Kapazität benachbarter elektrischer Verbindungsleitungen, die noch herzustellen sind, zu verringern. Beispielsweise ist häufig die Ätzstoppschicht 105, die üblicherweise auch als BLOK-Schicht (vergrabene Schicht mit kleinem ε bezeichnet wird), aus Silizium, Kohlenstoff und Stickstoff in Form einer SiCN-Schicht aufgebaut. Eine zweite dielektrische Schicht 106 mit einem dielektrischen Material mit kleinem ε, etwa eine silizium-, kohlenstoff-, sauerstoff- und Wasserstoffenthaltende Schicht mit der chemischen Formel SiCOH, ist über der Ätzstoppschicht 105 gebildet, woran sich eine Deckschicht 107 anschließt. Die Deckschicht 107 kann aus zwei Teilschichten 108 und 109 aufgebaut sein, wobei die Teilschicht 108 aus Siliziumdioxid gebildet sein kann, um eine Oberfläche der mechanischen weniger stabilen dielektrischen Schicht mit kleinem ε 106 zu verstärken. Die zweite Teilschicht 109 kann im Wesentlichen als eine ARC-Schicht während des später durchzuführenden Lithographieprozesses zur Herstellung eines Grabens in der Deckschicht 107, der dielektrischen Schicht 106 mit kleinem ε und der Ätzstoppschicht 105 dienen. Somit wird die Zusammensetzung und die Dicke der ARC-Schicht 109 entsprechend der Belichtungswellenlänge, die in dem nachfolgenden Lithographieprozess verwendet wird, so ausgewählt, um die Rückreflektion und Effekte stehender Wellen zu minimieren. Wie zuvor erläutert ist, müssen bei einer Fortentwicklung zu einer neuen Technologie beispielsweise beim Übergang von der 130 nm zu der 90 nm Technologie die Abmessungen von in dem Stapel aus Schichten 105, 106 und 107 auszubildenden Metallleitungen ebenso deutlich reduziert werden – beispielsweise um ungefähr 25% –, wobei dann auch eine Belichtungswellenlänge von 193 nm anstelle von 248 nm erforderlich sein kann. Aus diesem Grunde sind die entsprechenden Abmessungen, d. h. die Dicken der Schichten 105, 106, 107 den neuen Entwurfserfordernissen und der neu verwendeten Belichtungswellenlänge angepasst, wobei von der gut erprobten Schichtstapelanordnung ausgegangen wird, die in der 130 nm-Technologie unter Nutzung der 248 nm-Belichtungswellenlänge angewendet wird. Typische Abmessungen, die beim Übergang von 130 nm-Technologie zu einer 90 nm-Technologie erhalten werden, können ungefähr 50 nm für eine Dicke 105a der Ätzstoppschicht 105, ungefähr 150 nm für eine Dicke 106a der dielektrischen Schicht mit kleinem ε 106, ungefähr 80 nm für eine Dicke 108a der Schicht 108, wenn diese aus Siliziumdioxid aufgebaut ist und ungefähr 60 nm für eine Dicke 109a für die ARC-Schicht 109, wenn diese aus Siliziumoxynitrid aufgebaut ist, betragen.
  • Ein typischer konventioneller Prozessablauf zur Herstellung des Halbleiterbauelements 100, wie es in 1a gezeigt ist, kann die folgenden Prozesse beinhalten. Zunächst wird das Schaltungselement 102 in und auf dem Substrat 101 durch bekannte Prozesstechniken mit modernster Lithographie unter Anwendung einer 193 nm-Belichtungswellenlänge, anspruchsvollen Ätz-, Abscheide-, Implantations- und Poliersequenzen hergestellt, die nicht detailliert beschrieben werden. Danach wird die erste dielektrische Schicht 103 durch gut etablierte plasmaunterstützte chemische Dampfabscheidungs- (CVD) Techniken, möglicherweise in Kombination mit chemisch mechanischen Polierprozessen gebildet. Danach wird das Kontaktelement 104 durch fortschrittliche Photolithographie-, Ätz- und Abscheidetechniken gebildet, um zunächst eine Kontaktöffnung herzustellen, die dann mit einem leitenden Material, etwa Wolfram, Wolframsilizid, und dergleichen gefüllt wird. Überschüssiges Material des Kontaktelements 104 wird dann beispielsweise durch chemisch-mechanisches Polieren entfernt, wie dies im Stand der Technik gut bekannt ist. Danach wird die erste Ätzstoppschicht 105 mit der spezifizierten Dicke 105a entsprechend gut etablierter Prozessrezepte abgeschieden. Als nächstes wird die dielektrische Schicht 106 mit kleinem ε gebildet – im vorliegenden Falle durch Abscheiden von SiCOH aus geeigneten Vorstufenmaterialien mittels plasmaunterstütztem CVD, mit einer Dicke, die den Bauteilerfordernissen entspricht. Danach wird die Siliziumdioxidschicht 108 abgeschieden, worauf sich das Abscheiden der ARC-Schicht 109 anschließt, die beide durch gut etablierte plasmaunterstützte CVD-Techniken aufgebracht werden können.
  • 1b zeigt schematisch das Halbleiterbauelement 100 mit einer darauf ausgebildeten Lackmaske 110, die eine Öffnung 111 aufweist, die im Wesentlichen die Abmessungen eines Grabens 112 aufweist, der in der Deckschicht 107, der dielektrischen Schicht 106 mit kleinem ε und in der Ätzstoppschicht 105 in einem nachfolgenden Ätzprozess zu bilden ist. Die Lackmaske 110 weist ein Lackmaterial auf, das äußerst empfindlich bei einer Belichtungswellenlänge von 193 nm ist und das ferner eine moderat hohe Ätzselektivität während nachfolgender Ätzprozesse zeigt. Ferner ist eine Dicke 110a der Lackschicht 110 so gewählt, um einen akzeptablen Kompromiss zwischen einer hohen Schichtdicke für eine verbesserte Maskierungswirkung in dem nachfolgenden Ätzprozess zu erreichen, da auch ein deutlicher Materialabtrag in der Lackmaske 110 während des Verlaufs des Ätzprozesses stattfindet, und dem optischen Verhalten und dem Entwicklungsverhalten des Lacks während des Lithographieprozesses zu erreichen. Somit kann die Dicke 110a zu ungefähr 320 nm gewählt werden. Die Lackmaske 110 wird entsprechend bekannter Lithographietechni ken unter Verwendung einer Vorrichtung mit einer 193 nm Belichtungswellenlänge gebildet, wobei die Eigenschaften des Lackes in Kombination mit der ARC-Schicht 109 und der Siliziumdioxidschicht 108 die Ausbildung der Öffnung 111 ermöglichen, die eine laterale Abmessung aufweist, die deutlich kleiner als 193 nm ist. Es ist ferner darauf hinzuweisen, dass der Belichtung vorgeschaltete oder nachgeschaltete Behandlungen und dem Entwickeln vorgeschaltete oder nachgeschaltete Behandlungen gemäß gut etablierter Rezepte ausgeführt werden können. Danach wird die Lackmaske 110 verwendet, um den Graben 112 während eines äußerst anisotropen Ätzprozesses zu bilden.
  • 1c zeigt schematisch das Bauteil 100 in einem fortgeschrittenen Herstellungsstadium während eines anisotropen Ätzprozesses, der als 120 bezeichnet ist, wobei der Graben 112 im Wesentlichen bis zu der Ätzstoppschicht 105 herabgeätzt wird. Der konventionelle anisotrope Ätzprozess 120 umfasst drei Schritte, wobei ein erster Schritt mit einer spezifizierten Ätzchemie mit CHF3 und Sauerstoff ausgeführt wird, um den Graben 112 in der Deckschicht 107 zu bilden. Danach wird die Ätzchemie für einen zweiten oder Hauptätzschritt geändert, um eine gewünschte Abtragsrate und einen im Wesentlichen anisotropen Materialabtrag während der Ausbildung des Grabens 112 durch die dielektrische Schicht mit kleinem ε 106 hindurch zu erreichen. Die während dieses zweiten Ätzschrittes verwendete Ätzchemie kann auf C4F8, Argon und Stickstoff und einer moderat hohen Plasmaleistung beruhen. Wie aus 1 ersichtlich ist, wird während des ersten und des zweiten Ätzschrittes auch Material der Lackmaske 110 abgetragen, wobei insbesondere Randgebiete 113 einen erhöhten Materialabtrag erleiden, so dass die Ränder der Deckschicht 107 vorzugsweise während des Ätzens freigelegt werden. Der Hauptätzschritt durch die dielektrische Schicht 106 mit kleinem ε hält auf oder in der Ätzstoppschicht 105 an, wobei der Materialabtrag der Ätzstoppschicht 105 durch eine charakteristische Änderung eines Endpunktdetektionssignals überwacht werden kann, das durch spektroskopische Messungen der gasförmigen Nebenprodukte, die während des Ätzprozesses freigesetzt werden, erhalten werden kann. Zu diesem Zeitpunkt kann die Ätzchemie geändert werden, um in effizienter Weise die Ätzstoppschicht 105 zu öffnen, wodurch der Graben 112 fertiggestellt wird. Wiederum werden während dieses letzten Ätzschrittes, der typischerweise mit einem reduzierten anisotropen Verhalten ausgeführt wird, die Randgebiete 113 vorzugsweise von dem Ätzmittel angegriffen. Wie zuvor dargelegt ist, wird, da die Dicke 110a der Lackmaske 110 auf Grund der lithographischen Bedingungen nicht beliebig vergrößert werden kann, um die Erosion der Randgebiete 113 insbesondere während des letzten Ätzschrittes zur Öffnung der Ätzstopp schicht 105 zu verringern, eine hohe Rauhigkeit an dem Grabenrand erhalten, was schließlich zu einem erhöhten Leckstrom und einer verringerten Leitungszuverlässigkeit führen kann, nachdem der Graben 112 mit einem Barrierenmaterial beschichtet und mit einem Metall, etwa Kupfer, gefüllt ist.
  • 1d zeigt schematisch eine Draufsicht mehrerer Gräben 112 mit Randgebieten 113, die eine ausgeprägte Rauhigkeit aufweisen, wodurch die zuvor dargelegten Nachteile hervorgerufen werden.
  • Auf Grund der durch die ausgeprägte Rauhigkeit der Randgebiete von Metallleitungen hervorgerufenen Leitungsbeeinträchtigung besteht ein Bedarf für eine verbesserte Technik, die die Herstellung von Gräben mit geringerer Randrauhigkeit selbst für Herstellungstechnologien unter Anwendung einer Belichtungswellenlänge von weniger als 248 nm ermöglicht.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, die das Verringern nachteiliger Auswirkungen eines anisotropen Ätzprozesses ermöglicht, selbst wenn eine moderat dünne Lackmaske verwendet wird. Zu diesem Zwecke wird ein dielektrischer Schichtstapel mit kleinem ε so vorgesehen, dass in Verbindung mit einem geeignet gestalteten Ätzrezept Gräben mit geringeren Abmessungen ausgebildet werden können, wobei die Randrauhigkeit des Grabens deutlich kleiner im Vergleich zu dem konventionellen Vorgehen ist.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Bilden einer dielektrischen Schicht mit kleinem ε auf einer Kontaktschicht, wobei die Kontaktschicht ein dielektrisches Material und ein metallenthaltendes Kontaktelement enthält, das mit einem Schaltungselement verbunden ist. Ferner wird eine antireflektierende Schicht über der dielektrischen Schicht mit kleinem ε gebildet und eine Lackschicht wird auf der antireflektierenden Schicht ausgebildet. Des weiteren wird die Lackschicht strukturiert und ein Graben wird in die antireflektierende Schicht und die dielektrische Schicht mit kleinem ε geätzt, während die strukturierte Lackschicht als eine Ätzmaske verwendet wird.
  • Gemäß einer weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Halbleiterbauelement eine erste Bauteilschicht mit einem darin ausgebildeten Schaltungselement, wobei die erste Bauteilschicht über einem Substrat angeordnet ist. Das Halbleiterbauelement umfasst ferner eine zweite Bauteilschicht mit einem darin ausgebildeten leitenden Kontakt, der sich durch die zweite Bauteilschicht erstreckt und mit dem Schaltungselement verbunden ist. Das Halbleiterbauelement umfasst ferner eine erste Metallisierungsschicht, die auf der zweiten Bauteilschicht ausgebildet ist, wobei die erste Metallisierungsschicht eine Metallleitung mit einer Breite, einer Länge und einer Tiefe aufweist und sich in einer Tiefenrichtung zu der zweiten Bauteilschicht so erstreckt, dass sie mit dieser in Kontakt ist. Des weiteren umfasst die erste Metallisierungsschicht ferner eine dielektrische Deckschicht mit einer ersten Dicke und eine dielektrische Schicht mit kleinem ε mit einer zweiten Dicke, die größer als die erste Dicke ist, wobei die Deckschicht mit der dielektrischen Materialschicht mit kleinem ε, die sich kontinuierlich entlang der Tiefenrichtung zu der zweiten Bauteilschicht erstreckt, in Kontakt ist. Ferner umfasst das Halbleiterbauelement eine zweite Metallisierungsschicht, die über der ersten Metallisierungsschicht gebildet ist.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:
  • 1a bis 1c schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Herstellungsphasen zur Bildung eines Grabens in einer ersten Metallisierungsschicht auf der Grundlage einer 193 nm-Photolithographie;
  • 1d schematisch eine Draufsicht, wobei mehrere Gräben dargestellt sind, die entsprechend dem mit Bezug zu den 1a bis 1c beschriebenen Prozessablauf hergestellt sind, wobei die entsprechenden Gräben eine deutliche Randrauhigkeit aufweisen;
  • 2a bis 2d schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Phasen bei der Herstellung eines Grabens einer ersten Metallisierungsschicht mit einer reduzierten Grabenrandrauhigkeit gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung;
  • 2e eine Draufsicht mehrerer dicht gepackter Gräben für eine erste Metallisierungsschicht mit einer verbesserten Grabenrandrauhigkeit; und
  • 2f schematisch eine Querschnittsansicht eines Halbleiterbauelements mit einer ersten Metallisierungsschicht und mindestens einer weiteren Metallisierungsschicht gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung, wobei der Leckstrom und die Leitungszuverlässigkeit im Vergleich zu dem konventionellen Prozessablauf verbessert sind.
  • DETAILLIERTE BESCHREIBUNG
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen offenbarten anschaulichen Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Die vorliegende Erfindung beruht auf der Überlegung, dass der Schichtstapel mit einem dielektrischen Material mit kleinem ε für eine erste Metallisierungsschicht umgestaltet werden kann – und dabei den Entwurfserfordernissen entspricht -, um die Zeitdauer zu verringern, für die eine äußerst empfindliche Lackmaske einer reaktiven Ätzumgebung ausgesetzt ist, um zumindest einige der nachteiligen Auswirkungen des Ätzprozesses auf die schließlich erhaltene Metallleitung zu verringern. Auf diese Weise können selbst für moderat dünne Lackmasken, wie sei für eine Photolithographie mit einer Belichtungswellenlänge von 193 nm erforderlich sind, zuverlässige Metallleitungen mit reduzierten Abmessungen hergestellt werden. Es sollte jedoch beachtet werden, dass die vorliegende Erfindung äußerst vorteilhaft in Verbindung mit Halbleiterbauelementen ist, die eine 193 nm Lithographie erfordern, da konventionelle Lösungen, wie sie mit Bezug zu den 1a bis 1d zuvor be schrieben sind, unter Umständen nicht zu akzeptablen Ergebnissen führen können. Die vorliegende Erfindung kann jedoch auch bei weniger kritischen Anwendungen eingesetzt werden, etwa bei Halbleiterbauelementen, die auf der Grundlage einer 248 nm Lithographie hergestellt werden, wobei einige der anschaulichen Ausführungsformen, die noch zu beschreiben sind, für geringere Herstellungskosten und/oder eine erhöhte Produktionsausbeute sorgen.
  • Mit Bezug zu den 2a bis 2f werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 mit einem Substrat 201 mit einem Schaltungselement 202, das darin und/oder darauf ausgebildet ist. Eine dielektrische Schicht 203 ist über dem Substrat 201 so ausgebildet, um das Schaltungselement 202 zu umschließen. Die dielektrische Schicht 203 kann aus einem beliebigen geeigneten dielektrischen Material, etwa Siliziumdioxid, Siliziumnitrid, Siliziumkarbid, Siliziumkarbid mit Stickstoff und dergleichen hergestellt sein. Ein elektrisch leitendes Kontaktelement 204 ist in der dielektrischen Schicht 203 so ausgebildet, dass das Kontaktelement 204 sich durch die dielektrische Schicht 203 erstreckt, um eine Verbindung zu dem Schaltungselement 202 oder einen Teil davon herzustellen. Da die dielektrische Schicht 203 das Kontaktelement 204 aufnimmt, kann die dielektrische Schicht 203 auch als eine Kontaktschicht bezeichnet werden und das darin enthaltene dielektrische Material kann als ein Kontaktzwischenschichtdielektrikum bezeichnet werden. Da ein Teil des Substrats 201 oder darauf gebildete Materialschichten, die zumindest teilweise das Schaltungselement 202 und die dielektrische Schicht 203 mit dem Kontaktelement 204 aufnehmen, direkt mit den einzelnen Schaltungsbauelementen in Beziehung stehen, können die entsprechenden Ebenen oder Schichten im Weiteren hierin auch als Bauteilschichten im Gegensatz zu „Verdrahtungs-" Schichten oder Metallisierungsschichten bezeichnet werden, die über der Schicht 203 zu bilden sind.
  • Eine dielektrische Schicht 206 mit kleinem ε ist auf der dielektrischen Schicht 203 gebildet und ist in einer speziellen Ausführungsform aus Silizium, Kohlenstoff, Sauerstoff und Wasserstoff (SiCOH) mit einer im Wesentlichen homogenen Materialzusammensetzung entlang einer Tiefe oder Dicke 206a aufgebaut. D. h., die Materialzusammensetzung der dielektrischen Schicht 206 mit kleinem ε ist im Wesentlichen über die gesamte Dicke 206a hinweg bis hinab zu der dielektrischen Schicht 203 die gleiche. Das Vorsehen der dielektrischen Schicht 206 mit kleinem ε in Form einer SiCOH-Schicht bietet ein hohes Maß an Kompatibilität zu dem mit Bezug zu den 1a bis 1c beschriebenen konventionellen Prozessablauf, wodurch im Wesentlichen mühsame und teuere Modifizierungen von Prozessrezepten und Prozessanlagen, die zur Herstellung der dielektrischen Schicht 206 mit kleinem ε eingesetzt werden, im Wesentlichen vermieden werden können. Ferner ist SiCOH ein gut bekanntes dielektrisches Material mit kleinem ε, das durch relativ kostengünstige CVD-Techniken hergestellt werden kann. In anderen Ausführungsformen kann es geeignet sein, andere dielektrische Materialien mit kleinem ε zu verwenden, die fortschrittliche Aufschleudertechniken und dergleichen erfordern. Die Dicke 206a der Schicht 206 wird entsprechend den Entwurfserfordernissen ausgewählt. D.h., für ein vorgegebenes Metallisierungsmetall, etwa Kupfer oder einer Kupferlegierung in Verbindung mit einem Barrierenmaterial und für eine vorgegebene minimale laterale Abmessung der Schaltungselemente 202 und deren erwarteten Leistungsaufnahme, ist die Breite der Metallleitungen, die in der dielektrischen Schicht 206 mit kleinem ε zu bilden sind, im Wesentlichen durch einen minimalen Abstand zu einer benachbarten Metallleitung bestimmt, wodurch somit in Verbindung mit der Dicke 206a die verfügbare Querschnittsfläche der entsprechenden Metallleitung bestimmt ist. Somit wird die Dicke 206a, d. h. die Tiefe oder die Dicke der Metallleitungen, die herzustellen sind, so ausgewählt, um eine Leitfähigkeit zu erreichen, die für ein geeignetes Einstellen einer Stromdichte, die während des Betriebs des Halbleiterbauelements 200 vorherrscht, erforderlich ist. Beispielsweise bei einem Mikroprozessor der 90 nm-Technologie mit im Wesentlichen den gleichen elektrischen Verhalten wie das Halbleiterbauelement 100, das zuvor mit Bezug zu den 1a bis 1d beschrieben ist, kann die Dicke 206a auf ungefähr 200 nm festgelegt werden, was der kombinierten Dicke der Schichten 106 und 105 des Halbleiterbauelements 100 entspricht. Es können jedoch andere Werte in Übereinstimmung mit den Entwurtserfordernissen angewendet werden, und insbesondere kann die Dicke 206a kleiner als 200 nm für künftig größenreduzierte Bauteilgenerationen sein.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 200, wie es in 2a gezeigt ist, kann die folgenden Prozesse aufweisen. Hinsichtlich der Herstellung des Schaltungselements 202 in und auf dem Substrat 201 gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu 1a erläutert sind. Danach wird die dielektrische Schicht 203 durch einen geeigneten Herstellungsprozess, etwa plasmaunterstütztes CVD hergestellt, woran sich optional ein Einebnungsprozess, etwa ein chemisch-mechanisches Polieren an schließt, um eine eingeebnete Oberfläche der Schicht 203 zu erreichen, die dann in effizienter Weise zur Herstellung des Kontaktelements 204 strukturiert wird. Die Herstellung des Kontaktelements 204 kann in der gleichen Weise erreicht werden, wie dies mit Bezug zu 1a beschrieben ist. Danach kann die dielektrische Schicht mit kleinem ε 206 beispielsweise durch plasmaunterstütztes CVD oder durch Aufschleudertechniken hergestellt werden, wobei Prozessparameter gesteuert werden, um die spezifizierte Dicke 206a zu erhalten. In einigen Ausführungsformen werden die Unterschiede der Materialien der Schicht 206 und der Schicht 203 als ausreichend erachtet, um eine genügende Sensitivität und/oder eine Indikation zu erhalten, um eine abschließende Phase eines anisotropen Ätzprozesses zu stoppen oder anzuzeigen, der beim Strukturieren der dielektrischen Schicht mit kleinem ε 206 ausgeführt wird, um einen Graben zu erzeugen. Wenn beispielsweise die dielektrische Schicht 203 Siliziumdioxid oder Siliziumnitrid aufweist, ist die Ätzselektivität typischerweise ausreichend, um einen entsprechenden Ätzprozess zuverlässig zu stoppen. Somit kann eine weitere Ätzstoppschicht, die zwischen der dielektrischen Schicht 203 und der dielektrischen Schicht 206 mit kleinem ε ausgebildet ist, weggelassen werden, wodurch die Prozesskomplexität beim Herstellen einer ersten Metallisierungsschicht über der dielektrischen Schicht 203 geringer wird. In anderen Ausführungsformen kann eine speziell gestaltete Ätzstoppschicht in die dielektrische Schicht 203 eingebaut werden, beispielsweise indem ein oberer Bereich ersetzt wird, und diese kann z. B. in Form einer BLOK-Schicht vorgesehen werden, ähnlich wie dies in den 1a bis 1c gezeigt ist, wobei im Gegensatz zu dem konventionellen Bauteil die BLOK-Schicht ein Teil der dielektrischen Schicht 203 ist und während der Herstellung des Kontaktelements 204 strukturiert wird. Eine entsprechende Ausführungsform ist in 2c gezeigt und wird später detaillierter beschrieben.
  • 2b zeigt schematisch das Halbleiterbauelement 200 mit einer Deckschicht 207, die auf der dielektrischen Schicht mit kleinem ε 206 ausgebildet ist, und mit einer Lackmaske 210, die über der Deckschicht 207 gebildet ist. Die Lackmaske 210 enthält eine Öffnung 211, die im Wesentlichen die Abmessungen und die Form eines Grabens 212 repräsentiert, der in der Deckschicht 207 und in der dielektrischen Schicht mit kleinem ε 206 zu bilden ist. Die Deckschicht 207 ist in Hinblick auf die Materialzusammensetzung und die Dicke so gestaltet, um als eine mechanische Stabilisierungsschicht für die Schicht 206 und als eine antireflektierende Schicht für eine Lithographie bei der Strukturierung der Lackmaske 210 zu dienen. Somit wird eine Dicke der Deckschicht 207, die typischerweise deutlich geringer im Vergleich zu der Dicke 206a in Hinblick auf die üblicherweise größere Permittivität der Deckschicht 207 ist, auf der Grundlage der verwendeten Materialien und der Belichtungswellenlänge der zugehörigen Lithographietechnik ausgewählt. In einer speziellen Ausführungsform, die sich auf eine 193 nm-Lithographie bezieht, kann die Deckschicht 207 aus einer ersten Teilschicht 208, die im Wesentlichen als eine Stabilisierungsschicht und aus Siliziumdioxid aufgebaut sein kann, und aus einer zweiten Teilschicht 209, die im Wesentlichen als eine antireflektierende Schicht und beispielsweise aus Siliziumoxynitrid aufgebaut ist, aufweisen. Entsprechende Dicken 208a, 209a können mit ungefähr 70 bis 90 nm bzw. 40 bis 60 nm ausgewählt werden. Somit kann in hoch entwickelten Halbleiterbauelementen die Dicke der Deckschicht 207 ungefähr 150 nm betragen und für künftige Bauteilgenerationen deutlich geringer sein. In ähnlicher Weise kann die Dicke 210a der Lackmaske 210 für ein Bauteil der 90 nm-Technologie ungefähr 330 nm oder weniger betragen und kann noch deutlich geringer sein für künftige Bauteilgenerationen.
  • Die Deckschicht 207 und die Lackmaske 210 können entsprechend der gleichen Prozessstrategie hergestellt werden, wie sie auch mit Bezug zu 1a in Bezug zu der Deckschicht 107 und die Lackmaske 110 beschrieben ist.
  • 2c zeigt schematisch das Halbleiterbauelement 200 gemäß einer weiteren anschaulichen Ausführungsform, wobei die dielektrische Schicht 203 eine zusätzliche Ätzstoppschicht 205 aufweist, die aus einem beliebigen geeigneten Material, etwa einem Material mit kleinem ε in Form von Siliziumkarbid oder stickstoffenthaltenden Siliziumkarbid gebildet sein kann. Die zusätzliche Ätzstoppschicht 205 in der dielektrischen Schicht 203 kann gebildet werden, wenn verbesserte Eigenschaften in Hinblick auf die Ätzstoppqualitäten und/oder die Eigenschaften hinsichtlich der Endpunktanzeige und/oder der diffusionsblockierenden Wirkungen wünschenswert sind. Beispielsweise wird in Metallisierungsschichten auf Kupferbasis typischerweise eine leitende Barrierenschicht an freigelegten Oberflächen eines Grabens und einer Kontaktdurchführung vorgesehen, um die Diffusion von Kupferatomen in das Dielekrikum zu reduzieren und um die Diffusion des dielektrischen Materials in das Kupfer zu verringern, da Kupfer in einer Vielzahl von Materialien, etwa Siliziumdioxid, gut diffundiert. Eine entsprechende Barrierenschicht ist jedoch unter Umständen an der Unterseite des Grabens 212 nicht wünschenswert, um nicht unnötig den Übergangswiderstand zu dem darunter liegenden Kontaktelement 204 zu vergrößern. In diesem Falle kann die zusätzliche Ätzstoppschicht 205 wirksam eine Kupferdiffusion in das benachbarte dielektrische Material verhindern, wenn eine Barrierenschicht mit reduzierter Dicke an der Unterseite des Grabens 202 vorgesehen wird. Wenn in ähnlicher Weise bessere Qualitäten hinsichtlich der Funktion als Ätzindikator oder Ätzstopp erforderlich sind, kann eine speziell gestaltete Ätzstoppschicht 205 in der dielektrischen Schicht 203 vorgesehen werden. Während der Herstellung der dielektrischen Schicht 203 wird ihre Dicke geringer gewählt, um der zusätzlichen Ätzstoppschicht 205 Rechnung zu tragen, die dann so gebildet wird, dass die Gesamtdicke der Schicht 203 den Entwurfserfordernissen entspricht. Während der Herstellung des Kontaktelements 204 kann eine geringfügige Modifizierung des entsprechenden anisotropen Ätzprozesses erforderlich sein, um zunächst die zusätzliche Ätzstoppschicht 205 zu öffnen und danach den Rest der Schicht 203 in ähnlicher Weise zu strukturieren, wie dies in dem Prozess mit Bezug zu den 2a und 1a beschrieben ist. Danach kann der weitere Prozessablauf fortgesetzt werden, wie dies mit Bezug zu 2b beschrieben ist.
  • 2d zeigt schematisch das Halbleiterbauelement 200 während einer abschließenden Phase eines anisotropen Ätzprozesses 220, um den Graben 212 durch die Deckschicht 207 und die dielektrische Schicht mit kleinem ε 206 hindurch zu bilden. Im Gegensatz zu dem konventionellen Vorgehen wird der anisotrope Ätzprozess 220 als ein zweistufiger Prozess durchgeführt, wobei in einer ersten Stufe die Deckschicht 207 durchgeätzt wird und wobei in einer zweiten Stufe oder einem Hauptätzschritt durch die dielektrische Schicht mit kleinem ε 206 geätzt wird. Wenn die Ätzfront des Prozesses 220 die dielektrische Schicht 203 erreicht – unabhängig davon, ob sie die zusätzliche Ätzstoppschicht 205 enthält oder nicht – kann der Ätzprozess 220 zuverlässig an der Schicht 203 gestoppt werden, wobei die Ätzparameter so gewählt werden können, um die Gesamtätzzeit des Hauptätzschrittes im Vergleich zu dem konventionellen Prozess für den zweiten und den dritten Schritt, d. h. für das Ätzen durch die dielektrische Schicht 106 und die Ätzstoppschicht 105, zu verringern. Somit sind die Randgebiete 213 in geringerem Maße einem reaktiven Angriff des Ätzprozesses 220 ausgesetzt und damit wird die Ausbildung einer Randrauhigkeit deutlich verringert. Beim Eintreffen von gasförmigen Ätznebenprodukten 221, die während der Wechselwirkung der Ätzfront mit der Schicht 203 freigesetzt werden, können diese durch das Lichtsignal 222, das von den Nebenprodukten 221 ausgesandt wird, überwacht werden. Somit kann der Endpunkt des Hauptätzschrittes durch die Schicht 206 zuverlässig detektiert werden und der Ätzprozess kann auf der Grundlage des Lichtsignals 222, möglicherweise mit Anwendung einer spezifizierten Überätzzeit, angehalten werden.
  • Der Ätzprozess 220 kann mit einer beliebigen geeigneten anisotropen Ätzanlage mit einem dazugehörigen entsprechenden Endpunkterfassungssystem ausgeführt werden. Entsprechende Ätzanlagen sind im Stand der Technik gut bekannt und sind problemlos auf dem Markt erhältlich. In einer speziellen Ausführungsform kann eine Grabenätzanlage, die unter dem Handelsnamen UNITY 2E von TEL (Tokio Electron LTD) erhältlich ist, verwendet werden. In einer speziellen Ausführungsform kann der erste Ätzschritt zum Durchbrechen durch die Deckschicht 207 mit einem reduzierten Sauerstoffpegel mit einem Ätzmittel auf der Grundlage von CHF3 ausgeführt werden, um somit eine moderat hohe Ätzrate zu erreichen, während der Hauptätzschritt auf der Grundlage einer C4F8, Argon, Stickstoffchemie bei der moderaten Plasmaleistung durchgeführt werden kann, um die Ätzrate zu verlangsamen, wodurch die Wechselwirkung der reaktiven Umgebung mit den Randgebieten 213 verringert wird und wodurch ferner die Möglichkeit für eine zuverlässige Erkennung des Endpunkts des Ätzprozesses 220 möglich ist. Auf Grund der erhöhten Ätzrate während des ersten Ätzschrittes und auf Grund des Fehlens einer weiteren Ätzstoppschicht zwischen der dielektrischen Schicht 203 und der dielektrischen Schicht mit kleinem ε 206 kann die Gesamtätzzeit deutlich kleiner sein im Vergleich zu dem konventionellen Vorgehen mit drei Schritten. In einer Ausführungsform, wobei die zuvor benannte TEL UNITY 2E-Ätzanlage verwendet wird, kann der erste Schritt zum Durchbrechen durch die Deckschicht 207 für ungefähr 40 Sekunden lang oder weniger bei einer Dicke der Deckschicht, wie sie zuvor spezifiziert ist, ausgeführt werden. Der Hauptätzschritt für die dielektrische Schicht mit kleinem ε 206 mit einer Dicke von ungefähr 200 nm oder weniger kann ungefähr für 55 Sekunden oder weniger ausgeführt werden. In einer anschaulichen Ausführungsform kann der Durchbruchschritt mit der oben spezifizierten Ätzanlage auf der Grundlage von CHF3 und Sauerstoff bei ungefähr 15 Millitorr mit Durchflussraten von ungefähr 30 bzw. 8 sccm ausgeführt werden, wobei ungefähr 500 Watt an Plasmaleistung aufgewendet wird. Der Hauptätzschritt kann auf der Grundlage von C4F8, Argon, Stickstoff bei Durchflussraten von ungefähr 5 bzw. 740 bzw. 110 sccm ausgeführt werden, wobei ein Druck bei ungefähr 70 Millitorr gehalten wird und eine Plasmaleistung von ungefähr 1200 Watt aufgewendet wird. Es sollte jedoch beachtet werden, dass die vorhergehenden Prozessparameter lediglich anschaulicher Natur sind und leicht an andere Prozesse und Ätzanlagen angepasst werden können, wobei auf der Grundlage der hierin dargebotenen technischen Lehre geeignete Prozessparameter auf der Grundlage von Testläufen ermittelt werden können, wobei Halbleiterbauelemente 200 verwendet werden, wie sie in den 2a bis 2d gezeigt sind, oder wobei geeignet festgestellte Testsubstrate verwendet werden können, die darauf zumindest einen Schichtstapel, der die Schichten 203, 206 und 207 enthält, aufweisen.
  • In Ausführungsformen, in denen die zusätzliche Ätzstoppschicht 205 in der Schicht 203 vorgesehen ist, können gut etablierte konventionelle Ätzparameter verwendet werden, wie sie in dem zweiten Schritt angewendet werden, der mit Bezug zu 1c beschrieben ist, d. h. eine erhöhte Ätzrate während des Hauptätzschrittes, da hier der Ätzprozess zuverlässig an oder in der zusätzlichen Ätzstoppschicht 205 angehalten werden kann. Somit kann die Gesamtprozesszeit für das Strukturieren des Grabens 212 weiter verringert werden, wodurch der Durchsatz erhöht und damit die Herstellungskosten verringert werden.
  • 2e zeigt schematisch eine Draufsicht des Halbleiterbauelements 200 mit mehreren benachbarten Gräben 212, wobei die Abmessungen der Gräben 212, die in Übereinstimmung mit einem Bauteilentwurf für eine 90 nm Technologie gebildet sind, identisch zu dem Graben sind, der mit Bezug zu den 1a bis 1d beschrieben ist. Wie aus 2e ersichtlich wird, ist die Randrauhigkeit an den Randgebieten 213 deutlich geringer ausgeprägt, im Vergleich zu den Randgebieten 113 in 1b. Somit sind nachteilige Wirkungen, die durch einen Grabenätzprozess hervorgerufen werden, etwa ein Leckstrom zwischen benachbarten Metallleitungen, die in den Gräben 212 gebildet sind, deutlich verringert.
  • 2f zeigt schematisch ein Halbleiterbauelement 200 in einem weiter fortgeschrittenen Herstellungsstadium. Das Halbleiterbauelement 200 enthält ein Metall 215, das in den Graben 212 eingefüllt ist, wobei eine Barrierenschicht 214 ausgebildet sein kann, die das Metall 215 von dem dielektrischen Material der Schicht 206 trennt. In modernen integrierten Schaltungen kann das Metall 215 Kupfer aufweisen und das Barrierenmaterial kann Tantal, Tantalnitrid, Titan, Titannitrid und dergleichen aufweisen. Wie zuvor mit Bezug zu 2c erläutert ist, kann eine Dicke der Barrierenschicht 204 an der Unterseite 212a des Grabens 212 geringer sein, wenn die dielektrische Schicht 213 eine zusätzliche Ätzstoppschicht aufweist, die kupferdiffusionsblockierende Eigenschaften aufweist. Die Deckschicht 207 und die dielektrische Schicht mit kleinem ε 206 in Verbindung mit dem metallgefüllten Graben 212 repräsentieren eine erste Metallisierungsschicht 230. Über der ersten Metallisierungsschicht 230 ist eine zweite Metallisierungsschicht 240 ausgebildet, die eine Ätzstoppschicht 241, eine dielektrische Schicht mit kleinem ε 242 mit einer darin ausgebildeten Metallleitung 243 und einer Kontaktdurchführung 245, die mit einem der metallgefüllten Gräben 212 in Kontakt ist, aufweisen kann.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 200, wie es in 2f gezeigt ist, kann die folgenden Prozesse umfassen. Zunächst wird die Barrierenschicht 214 in dem Graben 212 durch beispielsweise moderne Sputterabscheidetechniken, durch Atomlagenabscheidung und dergleichen gebildet, worauf sich die Herstellung einer Saatschicht anschließt, die durch Sputter-Abscheidung, stromloses nass-chemisches Abscheiden, und dergleichen aufgebracht werden kann. Auf Grund der reduzierten Rauhigkeit der Randgebiete 213 ist die Bedeckung der Grabenoberflächen an den Gebieten 213 während der Herstellung der Barrierenschicht 214 und der Saatschicht (nicht gezeigt) deutlich verbessert, was einen Beitrag zu der erhöhten Zuverlässigkeit der Metallleitung 215 leistet. Danach wird das Metallvolumenmaterial, etwa Kupfer, eingefüllt durch beispielsweise Elekroplattieren und nachfolgend wird das überschüssige Metall durch beispielsweise chemisch-mechanisches Polieren abgetragen, wobei die Deckschicht 207 als eine Stoppschicht für den Polierprozess dienen kann. Danach wird die Ätzstoppschicht 241 abgeschieden gefolgt von der dielektrischen Schicht 242 und die Metallleitung 243 und die Kontaktdurchführung 245 können in Übereinstimmung mit gut etablierten Dual-Damaszener-Prozessen oder einem anderen geeigneten Herstellungsschema gebildet werden.
  • Es gilt also: die vorliegende Erfindung stellt einen vereinfachten dielektrischen Schichtstapel mit einem dielektrischen Material mit kleinem ε bereit, der für die Herstellung einer ersten Metallisierungsschicht verwendet wird. Auf Grund des vereinfachten Aufbaus des Schichtstapels, in welchem eine konventioneller Weise verwendete Ätzstoppschicht mit kleinem ε weggelassen wird, kann die Grabenqualitätsbeeinträchtigung deutlich reduziert werden, selbst für einen Strukturierungsprozess auf der Grundlage einer 193 nm Lithographie. Ferner kann in Verbindung mit einem verbesserten Ätzprozess die Wirksamkeit des vereinfachten Schichtstapels noch weiter erhöht werden. In einigen Ausführungsformen kann der vereinfachte Schichtstapel die Prozesskomplexität verringern, Rohmaterialien einsparen und den Durchsatz erhöhen, da ein Ätzschritt weggelassen werden kann. Im Vergleich zu konventionellen Lösungen zeigen die daraus resultierenden Halbleiterbauelemente ein verbessertes Leistungsverhalten in Hinblick auf Leckströme und die Bauteilzuverlässigkeit.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsform zu betrachten.

Claims (25)

  1. Verfahren mit: Bilden einer dielektrischen Schicht mit kleinem ε auf einer Kontaktschicht, wobei die Kontaktschicht ein dielektrisches Material und einen metallenthaltenden Kontakt aufweist, der mit einem Schaltungselement in Verbindung steht; Bilden einer antireflektierenden Schicht über der dielektrischen Schicht mit kleinem ε; Bilden einer Lackschicht auf der antireflektierenden Schicht; Strukturieren der Lackschicht; und Ätzen eines Grabens in die antireflektierende Schicht und die dielektrische Schicht mit kleinem ε, wobei die strukturierte Lackschicht als eine Ätzmaske verwendet wird.
  2. Das Verfahren nach Anspruch 1, wobei die Lackschicht unter Anwendung eines Photolithographieprozesses mit einer Belichtungswellenlänge von ungefähr 193 nm strukturiert wird.
  3. Das Verfahren nach Anspruch 1, wobei das Ätzen eines Grabens umfasst: Ausführen eines ersten Ätzschrittes, um durch die antireflektierende Schicht zu ätzen, und Ausführen eines zweiten Ätzschrittes, um durch die dielektrische Schicht mit kleinem ε zu ätzen, wobei der zweite Ätzschritt in der Kontaktschicht angehalten wird.
  4. Das Verfahren nach Anspruch 3, wobei die Kontaktschicht zur Endpunkterkennung während des zweiten Ätzschritts verwendet wird.
  5. Das Verfahren nach Anspruch 1, wobei die dielektrische Schicht mit kleinem ε Silizium, Kohlenstoff, Sauerstoff und Wasserstoff (SiCOH) aufweist.
  6. Das Verfahren nach Anspruch 5, wobei Bilden der antireflektierenden Schicht das Bilden einer ersten Teilschicht und Bilden einer zweiten Teilschicht umfasst.
  7. Das Verfahren nach Anspruch 1, wobei eine Breite des Grabens ungefähr 100 nm oder weniger beträgt.
  8. Das Verfahren nach Anspruch 1, wobei Ätzen des Grabens in einer reaktiven Plasmaumgebung für eine Zeitdauer von ungefähr 100 Sekunden oder weniger ausgeführt wird.
  9. Das Verfahren nach Anspruch 8, wobei das Ätzen des Grabens für eine Zeitdauer von ungefähr 90 Sekunden oder weniger ausgeführt wird.
  10. Das Verfahren nach Anspruch 3, wobei der erste Schritt in einer reaktiven Plasmaatmosphäre auf der Grundlage von CHF3 und Sauerstoff ausgeführt wird.
  11. Das Verfahren nach Anspruch 10, wobei der erste Schritt für eine Zeitdauer von ungefähr 40 Sekunden oder weniger ausgeführt wird.
  12. Das Verfahren nach Anspruch 3, wobei der erste Schritt in einer reaktiven Plasmaatmosphäre auf der Grundlage von C4F8, Argon und Stickstoff ausgeführt wird.
  13. Das Verfahren nach Anspruch 11, wobei der zweite Schritt für eine Zeitdauer von ungefähr 60 Sekunden oder weniger ausgeführt wird.
  14. Das verfahren nach Anspruch 1, das ferner Einfüllen eines Metalls in den Graben umfasst.
  15. Das Verfahren nach Anspruch 14, das ferner Bilden einer leitenden Barrierenschicht auf freigelegten Oberflächen des Grabens vor dem Einfüllen des Metalls umfasst.
  16. Das Verfahren nach Anspruch 14, wobei das Metall Kupfer aufweist.
  17. Halbleiterbauelement mit: einer ersten Bauteilschicht mit einem darin ausgebildeten Schaltungselement, wobei die erste Bauteilschicht über einem Substrat angeordnet ist; einer zweiten Bauteilschicht mit einem darin ausgebildeten leitenden Kontakt, der sich durch die zweite Bauteilschicht erstreckt und mit dem Schaltungselement in Verbindung steht; und einer ersten Metallisierungsschicht, die auf der zweiten Bauteilschicht ausgebildet ist, wobei die erste Metallisierungsschicht eine Metallleitung mit einer Breite, einer Länge und einer Tiefe aufweist und die sich in einer Tiefenrichtung zu der zweiten Bauteilschicht so erstreckt, um mit dieser in Kontakt zu sein, wobei die erste Metallisierungsschicht ferner eine dielektrische Deckschicht mit einer ersten Dicke und eine dielektrische Materialschicht mit kleinem ε mit einer zweiten Dicke, die größer als die erste Dicke ist, aufweist, wobei die Deckschicht mit der dielektrischen Materialschicht mit kleinem ε in Kontakt ist, und wobei die dielektrische Materialschicht mit kleinem ε sich kontinuierlich entlang der Tiefenrichtung zu der zweiten Bauteilschicht erstreckt.
  18. Das Halbleiterbauelement nach Anspruch 17, wobei die zweite Dicke ungefähr 220 nm oder weniger beträgt.
  19. Das Halbleiterbauelement nach Anspruch 18, wobei die zweite Dicke ungefähr 200 nm oder weniger beträgt.
  20. Das Halbleiterbauelement nach Anspruch 17, wobei die Breite der Metallleitung ungefähr 100 nm oder weniger beträgt.
  21. Das Halbleiterbauelement nach Anspruch 17, wobei die dielektrische Materialschicht mit kleinem ε SiCOH aufweist.
  22. Das Halbleiterbauelement nach Anspruch 17, wobei die Deckschicht Siliziumdioxid und/oder Siliziumoxynitrid aufweist.
  23. Das Halbleiterbauelement nach Anspruch 17, wobei die erste Dicke ungefähr 150 nm oder weniger beträgt.
  24. Das Halbleiterbauelement nach Anspruch 17, wobei die zweite Bauteilschicht ein dielektrisches Material mit Siliziumdioxid und/oder Siliziumnitrid aufweist.
  25. Das Halbleitebauelement nach Anspruch 17, das ferner mindestens eine zweite Metallisierungsschicht aufweist, die über der ersten Metallisierungsschicht ausgebildet ist.
DE102004021085A 2004-04-29 2004-04-29 Eine Technik zum Verringern der Rauhigkeit von Metallleitungen in einer Metallisierungsschicht Ceased DE102004021085A1 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102004021085A DE102004021085A1 (de) 2004-04-29 2004-04-29 Eine Technik zum Verringern der Rauhigkeit von Metallleitungen in einer Metallisierungsschicht
US11/043,200 US7279415B2 (en) 2004-04-29 2005-01-26 Method for forming a metallization layer stack to reduce the roughness of metal lines

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102004021085A DE102004021085A1 (de) 2004-04-29 2004-04-29 Eine Technik zum Verringern der Rauhigkeit von Metallleitungen in einer Metallisierungsschicht

Publications (1)

Publication Number Publication Date
DE102004021085A1 true DE102004021085A1 (de) 2005-11-24

Family

ID=35187654

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004021085A Ceased DE102004021085A1 (de) 2004-04-29 2004-04-29 Eine Technik zum Verringern der Rauhigkeit von Metallleitungen in einer Metallisierungsschicht

Country Status (2)

Country Link
US (1) US7279415B2 (de)
DE (1) DE102004021085A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7767578B2 (en) * 2007-01-11 2010-08-03 United Microelectronics Corp. Damascene interconnection structure and dual damascene process thereof
KR100897813B1 (ko) * 2007-10-18 2009-05-15 주식회사 동부하이텍 반도체 소자의 배선 형성 방법
TWI629720B (zh) * 2015-09-30 2018-07-11 東京威力科創股份有限公司 用於濕蝕刻製程之溫度的動態控制之方法及設備

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6350700B1 (en) * 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6475925B1 (en) * 2000-04-10 2002-11-05 Motorola, Inc. Reduced water adsorption for interlayer dielectric
US6514849B1 (en) * 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US20030235993A1 (en) * 2002-06-14 2003-12-25 Applied Materials, Inc. Selective etching of low-k dielectrics

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6969685B1 (en) * 2002-09-18 2005-11-29 Lam Research Corporation Etching a dielectric layer in an integrated circuit structure having a metal hard mask layer
US7001833B2 (en) * 2002-09-27 2006-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming openings in low-k dielectric layers
US6914007B2 (en) * 2003-02-13 2005-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ discharge to avoid arcing during plasma etch processes

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6475925B1 (en) * 2000-04-10 2002-11-05 Motorola, Inc. Reduced water adsorption for interlayer dielectric
US6350700B1 (en) * 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6514849B1 (en) * 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US20030235993A1 (en) * 2002-06-14 2003-12-25 Applied Materials, Inc. Selective etching of low-k dielectrics

Also Published As

Publication number Publication date
US20050245041A1 (en) 2005-11-03
US7279415B2 (en) 2007-10-09

Similar Documents

Publication Publication Date Title
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102005020060B4 (de) Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102005030588B4 (de) Technik zum Reduzieren des Ätzschadens während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102008006960B4 (de) Halbleiterbauelement mit selbstjustierter Kontaktstruktur und Verfahren zur Herstellung
DE102010064289A1 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102007057682A1 (de) Hybridkontaktstruktur mit Kontakt mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102008049727A1 (de) Kontaktelemente und Kontaktdurchführungen eines Halbleiterbauelements, die durch eine Hartmaske und Doppelbelichtung hergestellt sind
DE10260619B4 (de) Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
DE102005046976B4 (de) Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102008044988A1 (de) Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht
DE102006025405B4 (de) Verfahren zur Herstellung einer Metallisierungsschicht eines Halbleiterbauelements mit unterschiedlich dicken Metallleitungen
DE102008026211B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht
DE102006041004B4 (de) Technik zum Reduzieren plasmainduzierter Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika
DE102004029355B4 (de) Verfahren mit selbstausgerichteter Maske zum Verringern der Zellenlayoutfläche
DE10334406B4 (de) Verfahren zur Ausbildung eines Kontaktes in einem Halbleiterprozeß

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final
R003 Refusal decision now final

Effective date: 20140927