DE102004020230A1 - Zusammesetzung für das Chemisch-Mechanische Polieren (CMP) - Google Patents

Zusammesetzung für das Chemisch-Mechanische Polieren (CMP) Download PDF

Info

Publication number
DE102004020230A1
DE102004020230A1 DE200410020230 DE102004020230A DE102004020230A1 DE 102004020230 A1 DE102004020230 A1 DE 102004020230A1 DE 200410020230 DE200410020230 DE 200410020230 DE 102004020230 A DE102004020230 A DE 102004020230A DE 102004020230 A1 DE102004020230 A1 DE 102004020230A1
Authority
DE
Germany
Prior art keywords
particles
titanium dioxide
composition according
titania
containing particles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE200410020230
Other languages
English (en)
Inventor
Gerhard Dr. Auer
Frank Dr. Hipler
Gerfried Dr. Zwicker
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KERR-MCGEE PIGMENTS GmbH
Kerr McGee Pigments GmbH
Original Assignee
KERR-MCGEE PIGMENTS GmbH
Kerr McGee Pigments GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KERR-MCGEE PIGMENTS GmbH, Kerr McGee Pigments GmbH filed Critical KERR-MCGEE PIGMENTS GmbH
Priority to DE200410020230 priority Critical patent/DE102004020230A1/de
Priority to PCT/EP2005/003851 priority patent/WO2005104205A1/de
Priority to TW094112721A priority patent/TW200609318A/zh
Publication of DE102004020230A1 publication Critical patent/DE102004020230A1/de
Ceased legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Abstract

Bei einer Zusammensetzung in Form einer Dispersion oder einer Slurry für das chemisch-mechanische Polieren (CMP) bei der Herstellung von elektronischen oder mikroelektronischen Bauelementen, insbesondere Halbleiterelementen, und/oder eines mechanischen Bauelementes, insbesondere eines mikroelektromechanischen Bau- oder Halbleiterelementes (MEMS), soll eine Lösung geschaffen werden, die es ermöglicht, eine definiert einstellbare Teilchengrößenverteilung mit verbesserter Uniformität hinsichtlich Größe und Morphologie der Partikel zu erzielen. DOLLAR A Dies wird dadurch erreicht, dass die Zusammensetzung reine Titandioxidpartikel oder titandioxidhaltige Partikel enthält, welche vor, insbesondere unmittelbar vor, oder bei Zubereitung der Dispersion oder der Slurry einem Nassmahlungsschritt bzw. einer Nassmahlung ausgesetzt sind.

Description

  • Die Erfindung richtet sich auf Zusammensetzungen in Form einer Dispersion oder einer Slurry für das chemisch-mechanische Polieren (CMP) bei der Herstellung von elektronischen oder mikroelektronischen Bauelementen, insbesondere Halbleiterelementen, und/oder eines mechanischen Bauelementes, insbesondere eines mikroelektromechanischen Bau- oder Halbleiterelementes (MEMS).
  • Weiterhin betrifft die Erfindung ein Verfahren zur Herstellung eines elektronischen oder mikroelektronischen Bauelementes, insbesondere eines Halbleiterelementes, und/oder eines mechanischen Bauelementes, insbesondere eines mikroelektromechanischen Bau- oder Halbleiterelementes (MEMS), welches unter dem Einfluss einer titanhaltigen Zusammensetzung in Form einer Dispersion oder einer Slurry einem chemisch-mechanischen Polier- Verfahren (CMP) unterworfen wird.
  • Sie richtet sich ferner auf ein mikroelektronisches Bauelement, insbesondere ein Halbleiterelement, und/oder ein mechanisches Bauelement, insbesondere ein mikroelektromechanisches Bau- oder Halbleiterelement (MEMS), hergestellt nach diesem Verfahren.
  • Schließlich betrifft die Erfindung ein chemisch-mechanisches Polieren (CMP), durchgeführt unter Verwendung der vorstehenden Zusammensetzung.
  • Bei der Dispersion oder Slurry handelt es sich um eine Polierflüssigkeit, die beim chemisch-mechanischen Polieren (CMP), das auch als chemisch-mechanische Planarisierung bezeichnet wird, Verwendung findet.
  • In modernen integrierten Schaltungen (integrated circuits, IC) werden eine große Anzahl von mikroelektronischen Bauelementen, etwa Transistoren, Dioden, Kondensatoren und dergleichen, auf einem Substrat, z.B. aus Silizium oder anderen halbleitenden, isolierenden oder leitenden Materialien hergestellt. Die Schaltkreise bestehen aus strukturierten halbleitenden, nicht leitenden und elektrisch leitfähigen dünnen Schichten. Diese strukturierten Schichten werden üblicherweise dadurch hergestellt, dass ein Schichtmaterial durch physikalische oder chemische Verfahren (z. B. Aufdampfen, Kathodenzerstäubung, chemische Abscheidung aus der Dampfphase o. ä.) aufgebracht und durch ein mikrolithographisches Verfahren strukturiert wird. Durch die Kombination der verschiedenen halbleitenden, nicht leitenden und leitenden Schichtmaterialien werden die elektronischen Schaltungselemente des IC, wie z. B. Transistoren, Kondensatoren, Widerstände u. a. definiert und hergestellt.
  • Diese einzelnen Schaltungselemente müssen untereinander mittels einer so genannten Metallisierung gemäß der erforderlichen Funktionalität der integrierten Schaltung verbunden werden. Dazu wird ein so genanntes Zwischenebenendielektrikum über den Elementen abgeschieden und es werden Durchgangsöffnungen in der dielektrischen Schicht gebildet. Anschließend erfolgt die Abscheidung des Metalls für die eigentlichen Leitbahnen. Zwei Verfahren werden üblicherweise für die Strukturierung des Metalls eingesetzt. In einem ersten Verfahren wird das Metall, z. B. Aluminium mit einer photolithographisch aufgebrachten Lackmaske durch z.B. reaktives Ionenätzen (RIE) strukturiert. In einem zweiten Verfahren, das bevorzugt eingesetzt wird, wenn das Metall nicht durch RIE geätzt werden kann, werden die Durchgangsöffnungen und ins Zwischenebenendielektrikum geätzte Gräben mit Metall, beispielsweise Kupfer oder Wolfram gefüllt, um die elektrische Verbindung der einzelnen Halbleiterelemente bereitzustellen (sog. Damascene- bzw. Dual-Damascene Verfahren). Rückpolieren mittels chemisch-mechanischem Polieren (CMP) führt zu den metallgefüllten Gräben bzw. Durchgangsöffnungen. Aufgrund der ständig steigenden Anzahl von Halbleiterelementen und der immensen Komplexität moderner integrierter Schaltungen müssen typischerweise eine Vielzahl von Metallisierungsschichten übereinander gestapelt werden, um die erforderliche Funktionalität zu erreichen.
  • Im Rahmen einer wirtschaftlichen Fertigung der Integrierten Schaltungen reduzieren sich regelmäßig die Strukturbreiten der Schaltungen, d. h. die Schaltungen werden kleiner und die Substratfläche, d.h. der Scheibendurchmesser (Waferdurchmesser) und damit die Anzahl der Schaltungen auf dem Wafer nimmt zu. Die zur Erzielung der gewünschten Strukturbreiten, bei modernsten ICs im sub-100 nm Bereich, eingesetzten Lithographieverfahren weisen Schärfentiefebereiche (depth-of-focus, DOF) von < 1 μm auf, d.h. es werden extrem ebene Substratoberflächen benötigt. Strukturen, die auf Bereiche oberhalb oder unterhalb der Schärfentiefeebene abgebildet werden, erscheinen unscharf und weisen Abweichungen von der Struktursollgröße auf. Ausgehend von ultraglatten Substraten (Wafern), deren Oberflächen unter Verwendung von CMP hergestellt werden, müssen also die Wafer immer wieder dann planarisiert werden, wenn die Topographie auf der Scheibenoberfläche die erlaubte DOF überschreitet. Dies tritt beim ersten beschriebenen Metallisierungsschema immer dann auf, wenn sich die Leitbahnen z.B. aus Aluminium, die eine Stärke von 0,5 – 0,8 μm aufweisen, kreuzen bzw. überschneiden. Abhilfe schafft eine Planarisierung des Zwischenebenendielektrikums mittels CMP. Andernfalls können Kurzschlüsse, unterbrochene Verbindungen, mangelhafte Kontakte zwischen den Ebenen oder schließlich Zuverlässigkeitsprobleme während des Betriebs des ICs auftreten. Die Anwendung der Damascene- bzw. Dual-Damascene-Technologie bei Wolfram-Durchgangskontakten oder Kupfer-Leitbahnen, d.h. die Herstellung von eingegrabenen Leitbahnen, führt beim Polieren von überstehendem Metall automatisch zu planaren Oberflächen, weshalb sich diese Technologie verstärkt durchsetzt.
  • Chemisch-mechanisches Polieren wird über die bereits erwähnten Anwendungen hinaus auch beispielsweise bei der Erzeugung der Grabenisolation zwischen den Bauelementen (shallow trench isolation – STI), bei der Definition der Steuerelektroden bei MOS-Transistoren (metal gates), bei der Herstellung von mikroelektromechanischen Systemen (MEMS), bei der Fertigung von Festplatten und Festplatten-Schreib/Leseköpfen usw. eingesetzt. Das CMP bewirkt eine sowohl lokale als auch die gesamte Waferfläche umfassende Planarisierung der strukturierten Oberflächen durch Abtrag erhöhter Schichtteile, bis eine ebene Oberfläche erhalten wird. Hierdurch kann der nächstfolgende Schichtaufbau auf einer ebenen Fläche ohne Höhenunterschiede erfolgen und die gewünschte Präzision der Strukturierung und die Zuverlässigkeit der Bauelemente der Schaltung können erreicht werden.
  • Ein CMP-Schritt wird mit Hilfe von speziellen Poliermaschinen, Poliertüchern (Pads) und Poliermitteln (Polierslurries) durchgeführt. Eine Polierslurry ist eine Zusammensetzung, die in Kombination mit dem Poliertuch, dem so genannten Pad, auf der Poliermaschine einen Abtrag des zu polierenden Materials auf einem Wafer oder einem anderen Substrat bewirkt. Ein Wafer ist eine polierte Siliziumscheibe, auf der integrierte Schaltungen aufgebaut werden. CMP-Prozesse können auf verschiedene Materialien, die z. B. oxidische, nitridische, halbleitende oder metallische Komponenten enthalten, angewendet werden.
  • Bei Polierprozessen üben Poliertücher und Polierflüssigkeiten wichtige Funktionen aus. So beeinflusst das Poliertuch z. B. die Verteilung der Polierflüssigkeit auf dem Wafer, den Abtransport des abgetragenen Materials oder auch die Herausbildung topologischer Merkmale (Planarität). Wichtige kennzeichnende Merkmale eines Poliertuchs sind z. B. dessen Porenform und -größe, dessen Härte und Kompressibilität. Die Polierflüssigkeit enthält z. B. die notwendigen Chemikalien und Abrasivmaterialien, verdünnt und transportiert abgetragenes Material und beeinflusst z. B. die Abtragsrateneines CMP-Prozesses bezüglich unterschiedlicher Materialien. Kennzeichnende Merkmale einer Polierflüssigkeit sind z. B. deren Inhalt an Chemikalien und Abrasivmaterialien hinsichtlich Art und Menge, die Teilchengrößenverteilung, die Viskosität und kolloidale und chemische Stabilität. Eine Übersicht über die Technik des CMP findet sich z. B. in J. M. Steigerwald, S. P. Murarka und R. J. Gutmann, "Chemical Mechanical Planarization of Microelectronic Materials", John Wiley & Sons Inc., New York (1996), B. L. Mueller und J. S. Steckenrider, Chemtech (1998) S. 38-46 oder in R. Waser (Hg.), "Nanoelectronics and Information Technology – Advanced Electronic Materials and Novel Devices", Verlag Wiley-VCH Weinheim (2003) S. 264-271.
  • Polierflüssigkeiten sind typischerweise Mehrkomponenten-Systeme, bestehend aus flüssigen Bestandteilen und gelösten Additiven (z. B. organische und anorganische Säuren oder Basen, Stabilisatoren, Korrosionsinhibitoren, oberflächenaktive Substanzen, Oxidationsmittel, Puffer, Komplexierungsmittel, Bakterizide und Fungizide) und Abrasivmaterialien (z. B. Siliziumoxid, Aluminiumoxid, Ceroxid) dispergiert in einem flüssigen Medium, typischerweise Wasser. Die konkrete Zusammensetzung wird durch das zu polierende Material bestimmt.
  • Insbesondere in Polierschritten, in denen Halbleiterschichten beteiligt sind, sind die Anforderungen an die Präzision des Polierschrittes und damit an die Polierslurry besonders groß. Bewertungsmaßstab für die Wirksamkeit von Polierslurries sind eine Reihe von Größen, mit denen die Wirkung der Polierslurry charakterisiert wird. Hierzu gehören die Abtragsrate, d. h. die Geschwindigkeit, mit der das zu polierende Material abgetragen wird, die Selektivität, d. h. das Verhältnis der Abtragsgeschwindigkeiten von zu polierendem Material zu weiteren anwesenden Materialien, sowie Größen für die Gleichmäßigkeit der Planarisierung. Diese beschreiben einen erzielten Planarisierungsgrad (Ebenheit), eine unerwünschte Einpolierung ins Material (dishing) oder einen unerwünschten Abtrag benachbarter, anderer Materialien (erosion). Zu den die Gleichmäßigkeit der Planarisierung beschreibenden Größen werden aber auch die Gleichmäßigkeit der Restschichtdicke innerhalb eines Wafers (within-wafer non-uniformity, WIWNU) und die Gleichmäßigkeit von Wafer zu Wafer (wafer-to-wafer non-uniformity, WTWNU) sowie die Anzahl der Defekte (z.B. Kratzer, Oberflächenrauigkeiten oder anhaftende Partikel) pro Flächeneinheit gezählt.
  • Für die IC-Herstellung wird zunehmend der so genannte Kupfer-Damascene-Prozess verwendet (vgl. z. B. "Microchip Fabrication: A Practical Guide to Semiconductor Processing", Peter Van Zant, 4<th> ed., McGraw-Hill, 2000, pp 401-403 und 302-309; "Copper CMP: A Question of Tradeoffs", Peter Singer, Semiconductor International, Verlag Cahners, Mai 2000, pp 73-84; U. Hilleringmann, "Silizium-Halbleitertechnologie", Teubner-Verlag, 3. Auflage, 2003). Dabei ist es erforderlich, eine Kupfer (Cu) -Schicht chemisch- mechanisch mit einer Polierslurry abzutragen (sog. Cu-CMP-Prozess), um die Cu-Leiterbahnen herzustellen. Die fertigen Cu-Leiterbahnen sind in ein Dielektrikum eingebettet. Zwischen Cu und dem Dielektrikum befindet sich eine Barriereschicht, um ein Eindiffundieren von Kupfer letztlich in das Silizium (Si) -Substratmaterial zu verhindern, was negative Folgen für die Leistungsfähigkeit des ICs zur Folge hätte. Aus diesem Aufbau resultieren Besonderheiten und Schwierigkeiten hinsichtlich der erforderlichen Poliertechniken. In einem typischen IC-Herstellungsprozess wird Kupfer auf einer Barriereschicht aus Tantal/Tantalnitrid abgeschieden. Auch andere Metalle, deren Nitride bzw. Silicide können dafür eingesetzt werden. Bei der zu erfolgenden Planarisierung ist es notwendig, das überschüssige Kupfer und Barrierematerial zu entfernen, ohne die darunter liegende Schicht des Dielektrikums abzutragen. Bedingt durch unterschiedliche Materialeigenschaften des Kupfers (relativ weich, leicht oxidierbar) und des Tantals (relativ hart), werden an einen Polierprozess besondere Anforderungen gestellt. Stand der Technik für den Cu-CMP-Prozess ist ein mehrstufiger Prozess. Die Cu-Schicht wird zuerst mit einer Polierslurry poliert, die einen hohen Cu-Abtrag garantiert. Anschließend wird eine zweite Polierslurry verwendet, um die überstehende Barriereschicht zu entfernen. Nach anschließenden Reinigungsschritten wird eine ebene Oberfläche mit dem blank polierten Dielektrikum und den eingebetteten Leiterbahnen erhalten. Für den ersten Polierschritt verwendet man z. B. eine Polierslurry mit hoher Selektivität, d. h., dass die Abtragsrate für Cu möglichst hoch ist und die für das Material der darunter liegenden Barriereschicht möglichst klein ist. Der Polierprozess wird automatisch gestoppt, sobald unter dem Cu die Barriereschicht freigelegt wird. Für die Entfernung der Barriereschicht in einem zweiten Polierschritt verwendet man Polierslurries mit hoher Abtragsrate für die Barriereschicht. Die Abtragsrate für Cu ist kleiner oder gleich der Abtragsrate für die der Barriereschicht. Zur Vermeidung von Dishing und Erosion soll die Abtragsrate des Dielektrikums in gleicher Größenordnung liegen.
  • CMP-Slurries für das Polieren von Metall, z.B. für den ersten Kupfer-Polierschritt, enthalten eine oder mehrere chemische Verbindungen, die mit dem Material der einzuebnenden Schicht reagieren, z. B. oxidieren, wobei danach das Reaktionsprodukt, etwa das Metalloxid, mechanisch mit Abrasivstoffen in der Slurry oder auf dem Poliertuch entfernt wird. Freiliegendes Metall wird dann durch weitere chemische Verbindungen leicht angeätzt, bevor sich wieder ein schützender Oxidüberzug bildet und der Zyklus von neuem starten kann. Abtrag und erzielte Planarität hängen ab vom Druck zwischen Werkstück und Poliertuch, von der Relativgeschwindigkeit zwischen den beiden und bei chemisch dominierten Prozessen von der Temperatur.
  • Aus dem Stand der Technik ist bekannt, als Abrasive in Polierslurries für den ersten Polierschritt z. B. Siliziumoxid, Aluminiumoxid, Ceroxid oder Titanoxid einzusetzen (vgl. z. B. WO-A 99/64527, WO-A 99/67056, US-A 5,575,837 und WO-A 00/00567). Nachteilig an auf Aluminiumoxid basierenden Polierslurries ist die hohe Härte des Abrasivs, was verstärkt zu Kratzern auf der Waferoberfläche führt. Dieser Effekt kann reduziert werden, indem man das Aluminiumoxid über Gasphasenprozesse und nicht über Schmelzprozesse herstellt. Bei diesem Prozess erhält man unregelmäßig geformte Partikel, die aus vielen kleinen Primärpartikeln (Aggregate) zusammengesintert sind. Der Gasphasenprozess kann auch zur Herstellung von Titandioxid- oder Siliziumdioxidteilchen verwendet werden. Kantige Teilchen kratzen prinzipiell stärker als runde, kugelförmige Teilchen. Besonders glatt polierte Oberflächen mit Rauhigkeiten im Bereich deutlich unter 1 nm z.B. auf dem Dielektrikumsmaterial Siliziumdioxid werden mit runden, kugelförmigen kolloidalen Siliziumdioxid-Partikeln (Fällungskieselsäure) erzielt.
  • Eine Dispersion mit abrasiven Partikeln und einer durch TiO2 verursachten photokatalytischen Wirkung bei der Bestrahlung mit Licht, beispielsweise ultraviolettem Licht, ist aus der US 2003/0022502 A1 bekannt. Hierbei unterstützt die photokatalytische Wirkung die Oxidation der zu beseitigenden Metallschicht und verbessert damit die abrasive Wirkung der Dispersion.
  • Eine Dispersions-Zusammensetzung mit photokatalytischer Wirkung und einer Mischung aus TiO2 und Ti2O3 als Katalysator ist aus der US 6,177,026 B1 bekannt.
  • Nachteilig bei diesem Stand der Technik ist es, dass bei Verwendung von dem Stand der Technik entsprechendem Titandioxid die Größe der abrasiven Partikel nicht genau eingestellt wird und die resultierende multimodale Teilchengrößenverteilung bzw. vorhandene Aggregate oder Agglomerate der abrasiven Partikel Kratzer, Riefen oder unregelmäßige Abtragsraten bewirken und die Uniformität und Leistungsfähigkeit des CMP-Prozesses beeinträchtigen. Aggregate sind dabei feste und harte Partikel, welche deutlich größer sind als die mittlere Teilchengröße der abrasiven Partikel. Aggregate lassen sich häufig nur schwer bzw. nur durch Einbringung von hoher Mahlenergie zerteilen. Agglomerate sind feste Partikel, welche deutlich größer sind als die mittlere Teilchengröße der abrasiven Partikel. Agglomerate entstehen häufig dadurch, dass ein Teil einer Suspension an einer Behälterwand antrocknet und die Suspension durch anschließendes Abplatzen dieser Trocknungsagglomerate verunreinigt wird. Agglomerate lassen sich in der Regel durch Einbringung von ausreichender Mahlenergie wieder zerteilen. Sowohl Aggregate als auch Agglomerate werden häufig auch als Überkorn bezeichnet (s. auch „Industrial Inorganic Pigments", Gunter Buxbaum (Hrsg.), 2. Auflage, Wiley-VCH 1998, S. 12 ff).
  • Insbesondere für die Politur von neuartigen Materialien mit niedriger Dielektrizitätskonstante (low-k materials), die aus dotierten Oxiden oder nanoporösen Polymermaterialien bestehen, werden Slurries mit geringer Reibung zur Vermeidung von Scherkräften benötigt, die bei der Politur mögliche Schicht-Delaminierungen verhindern sollen.
  • Ein weiterer Nachteil beim Stand der Technik ist das aufwändige und kostspielige Herstellungsverfahren der Dispersionspartikel, was in besonderem Maße auf die Herstellung von Nanopartikeln aus Gasphasenprozessen zutrifft.
  • Demgegenüber liegt der Erfindung die Aufgabe zu Grunde, eine definiert einstellbare Teilchengrößenverteilung mit verbesserter Uniformität hinsichtlich Größe und Morphologie der Partikel zu erzielen.
  • Bei einer Zusammensetzung der eingangs genannten Art wird diese Aufgabe erfindungsgemäß dadurch gelöst, dass die Zusammensetzung Titandioxidpartikel oder titandioxidhaltige Partikel enthält, welche vor, insbesondere unmittelbar vor, oder bei Zubereitung der Dispersion oder der Slurry einem Nassmahlungsschritt bzw. einer Nassmahlung ausgesetzt sind.
  • Insbesondere weist die Zusammensetzung ausschließlich solche Titandioxidpartikel oder titandioxidhaltige Partikel auf, die eine Nassmahlung bzw. einen Nassmahlungsschritt vor, insbesondere unmittelbar vor, oder bei Zubereitung der Dispersion oder der Slurry durchlaufen haben.
  • Bevorzugt wird der Nassmahlungsschritt unmittelbar vor der Zubereitung der CMP-Slurry vorgenommen, weil dies das Risiko hinsichtlich der Kontamination mit oder der Bildung von gröberen Partikeln, z.B. durch Abplatzen von Anbackungen von Behälter-, Reaktor- oder Rohrleitungen, sowie von Reagglomeration oder Flockulation verringert. Unmittelbar bedeutet dabei, dass kein die Titandioxidpartikel oder titandioxidhaltigen Partikel betreffender Verfahrensschritt mehr zwischen der Nassmahlung und der Zubereitung der Zusammensetzung liegt.
  • Hierdurch wird erreicht, dass Partikel mit einer definierten Teilchengröße und Teilchengrößenverteilung vorliegen, wobei der Anteil an Agglomeraten oder Aggregaten minimiert wird. Das hat zur Folge, dass die Erzeugung mechanischer Oberflächendefekte wie Kratzer, Riefen, Oberflächenrauigkeiten oder anhaftende Partikel beim CMP-Prozess minimiert wird. Insbesondere ist es möglich, Partikel mit einer hohen Abrasionsrate bei gleichzeitig oberflächenschonenden Eigenschaften herzustellen.
  • Außerdem zeichnet sich die erfindungsgemäße Zusammensetzung durch eine hohe katalytische bzw. photokatalytische Aktivität aus. Diese katalytische bzw. photokatalytische Aktivität wird verursacht durch die spezifischen physikalischen Eigenschaften von TiO2. Durch verschiedene Parameter kann eine gezielte Beeinflussung dieser katalytischen bzw. photokatalytischen Aktivität erreicht werden: Teilchengröße bzw. spezifische Oberfläche (BET-Oberfläche), Kristallinität (Anatas, Rutil oder amorph), Acidität oder Oberflächenbeschichtung.
  • Weiterhin ist es möglich, die katalytische Aktivität durch chemische Zusätze zu beeinflussen bzw. zu erhöhen, beispielsweise durch Zusätze von Metallionen wie beispielsweise Fe, Co, Ni, V, Mo oder Edelmetalle wie z.B. Ag, Pd, Ru, Rh.
  • Diese chemischen Zusätze können den Titandioxidpartikeln oder titandioxidhaltigen Partikeln entweder zugemischt oder auf die Oberfläche der Titandioxidpartikel oder titandioxidhaltigen Partikel aufgebracht sein, sie können aber auch durch einen Kalzinierungs- oder Temperprozess in das Kristallgitter der Titandioxidpartikel oder titandioxidhaltigen Partikel eingebaut oder auf der Partikeloberfläche fest verankert werden.
  • Mit der Erfindung werden Agglomerate oder Aggregate von Partikeln aufweisende Zusammensetzungen vermieden. Weiterhin ist eine flexible Anpassung der Teilcheneigenschaften an die eingangs erwähnten Erfordernisse von CMP-Prozessen möglich. Im Gegensatz zu herkömmlichen Gasphasenprozessen ergibt sich ein technisch und wirtschaftlich verbesserter Produktionsprozess zur Bildung nanopartikularer titanoxidhaltiger Abrasivmaterialien, der eine variable Einstellung von z. B. Größe, Morphologie, Gehalt an anderen erwünschten chemischen Elementen, Dotierung, Härte, tribologischen Eigenschaften, Oberflächenrauhigkeit oder Oberflächenbeschichtung erlaubt.
  • Bei Vornahme einer Nassmahlung ergibt sich ein erheblicher Spielraum für Variationsmöglichkeiten bei der Erzeugung der TiO2-Partikel: Neben der Erzeugung durch Gasphasenprozesse, z.B. CVD (chemical vapor deposition), können auch Fällverfahren, Kalzinierverfahren, hydrothermale Prozesse oder andere Verfahren zur Erzeugung der TiO2-Partikel bzw. Titandioxidpartikel oder titandioxidhaltige Partikel verwendet werden, ohne dass die dabei zunächst entstehenden harten Aggregate oder Agglomerateaus den Partikeln sich nachteilig auf den CMP-Prozess auswirken können. Durch die Einbringung entsprechender Mahlenergie im Rahmen der Nassmahlung werden diese Aggregate oder Agglomerate effektiv und effizient Zerkleinerung zerkleinert.
  • Der Vorteil einer Nassmahlung gegenüber anderen Mahltechniken besteht einerseits in der wirksameren Zerteilung der Aggregate oder Agglomerate, andererseits in der besseren Absorption der Mahlenergie durch die hohe Wärmekapazität des fluiden Mediums (z.B. Wasser) und schließlich in der besonders effektiven Abtrennung von Überkorn bzw. gröberen Anteilen.
  • Durch ein gezieltes Design der charakteristischen Partikeleigenschaften aufgrund der oben genannten Freiheitsgrade bei der Herstellung der Partikel ist es möglich, eine rein photokatalytische Wirkung mit verbesserten Abrasiveigenschaften zu kombinieren, so dass der Zusammensetzung keine weiteren Abrasivmaterialien außer den dieser Erfindung zugrunde liegenden Titandioxidpartikeln oder titandioxidhaltige Partikeln hinzugefügt werden müssen. Dies reduziert die Menge an Verbrauchsmaterialien und wirkt sich schonend auf Ressourcen aus.
  • Grundsätzlich können für die Nassmahlung der Titandioxidpartikel oder titandioxidhaltigen Partikel alle Mahlaggregate verwendet werden, die eine ausreichende Zerkleinerungswirkung bei einer hinreichend kurzen Verweilzeit erlauben und dadurch einen ausreichenden Durchsatz für ein wirtschaftliches Verfahren ermöglichen.
  • Besonders vorteilhaft ist aber eine Nassmahlung der Titandioxidpartikel oder titandioxidhaltigen Partikel in einer Kugelmühle, einer Kugelschwingmühle, einer Perlmühle oder einer Rührwerkkugelmühle, was die Erfindung in Ausgestaltung vorsieht. Besonders bevorzugt wird die Verwendung einer Rührwerkkugelmühle, weil in diesem Fall eine besonders effiziente Vermeidung von gröberen Anteilen erreicht werden kann.
  • Hierbei ist es gemäß Ausgestaltung der Erfindung weiterhin von besonderem Vorteil, wenn die Zusammensetzung Titandioxidpartikel oder titandioxidhaltige Partikel mit einem TiO2-Anteil von 5 bis 100 Gew.-%, bevorzugt 75 bis 99 Gew.-%, besonders bevorzugt 90 bis 99 Gew.-%, enthält (jeweils bezogen auf eine nach ISO 787 Teil 2 getrocknete Probe aus den Partikeln). Hierdurch weist die Zusammensetzung den oben beschriebenen photokatalytischen Effekt in besonderem Maße auf, der einen CMP-Prozess unterstützt.
  • Von Vorteil ist es weiterhin, wenn der D90-Wert der Teilchengrößenverteilung der Titandioxidpartikel oder titandioxidhaltigen Partikel <8,0 μm, bevorzugt <1,2 μm, besonders bevorzugt <0,8 μm beträgt, was die Erfindung auch vorsieht. Auf diese Weise wird vermieden, dass Schäden auf der polierten Oberfläche durch Partikelkontamination und Ausbildung von Kratzern auftreten.
  • Der D90-Wert der Teilchengrößenverteilung ist der Wert, bei dem 90% aller Teilchen kleiner und 10% der Teilchen größer als dieser Wert sind.
  • Entsprechend gilt für den D50-Wert der Teilchengrößenverteilung, dass genau die Hälfte der Teilchen kleiner als dieser Wert ist.
  • Für den D98-Wert der Teilchengrößenverteilung gilt entsprechend, dass 98% der Teilchen kleiner als dieser Wert sind.
  • Üblicherweise wird die Teilchengrößenverteilung von Titandioxidpartikeln mittels Lichtstreuung ermittelt. Beispielsweise eignet sich hierzu ein Malvern Mastersizer 2000, wobei die zu untersuchende Dispersion mittels einer zweiminütigen Ultraschallbehandlung dispergiert wird.
  • Da für den CMP-Prozess die Anwesenheit auch nur geringer Anteile an groben Aggregaten oder Agglomeraten der Titandioxidpartikel oder titandioxidhaltigen Partikel nachteilig ist, eignet sich zur Charakterisierung der D90- bzw. der D98-Wert besser als der D50-Wert.
  • Besonders vorteilhaft ist es, wenn der D98-Wert der Teilchengrößenverteilung der Titandioxidpartikel oder titandioxidhaltigen Partikel <10,0 μm, bevorzugt <1,8 μm, besonders bevorzugt <1,0 μm beträgt.
  • Von Vorteil ist es weiterhin, wenn der D50-Wert der Teilchengrößenverteilung (die mittlere Teilchengröße) der Titandioxidpartikel oder titandioxidhaltigen Partikel 3 bis 1000 nm, bevorzugt 15 bis 300 nm, besonders bevorzugt 100 bis 300 nm, oder insbesondere 10 bis 40 nm, beträgt. Hierbei sieht die Erfindung weiterhin vor, dass die BET-Oberfläche der Titandioxidpartikel oder titandioxidhaltigen Partikel 0,1 bis 350 m2/g, bevorzugt 4 bis 150 m2/g, beträgt.
  • Somit wird z. B. eine hohe Abtragsleistung erreicht und die Bildung mechanischer Defekte auf der Oberfläche während des CMP-Prozesses durch zu große Abrasivpartikel minimiert bzw. verhindert.
  • Die Variation der Teilchengröße ist ein wichtiger Parameter zur Optimierung und Steuerung des CMP-Prozesses. Je größer der mittlere Durchmesser der Teilchen ist, desto höher wird tendenziell die Abtragsrate ausfallen; jedoch wächst dabei auch das Risiko der Erzeugung von Defekten wie z.B. Kratzern oder Inhomogenitäten beim CMP-Prozess.
  • Daneben sinkt mit größeren Teilchen in der Regel auch die photokatalytische Aktivität.
  • Umgekehrt gilt, dass ein kleinerer mittlerer Durchmesser der Teilchen tendenziell eine geringere Abtragsrate ergibt; jedoch sinkt dabei auch das Risiko der Erzeugung von Defekten wie z.B. Kratzern oder Inhomogenitäten beim CMP-Prozess.
  • Daneben steigt mit kleineren Teilchen in der Regel auch die photokatalytische Aktivität.
  • Je nach spezifischen Anforderungen an den CMP-Prozess kann es gemäß Ausgestaltung der Erfindung vorteilhaft sein, wenn die mittlere Teilchengröße der Titandioxidpartikel oder titandioxidhaltigen Partikel 10 bis 40 nm und die BET-Oberfläche der Titandioxidpartikel oder titandioxidhaltigen Partikel 50 bis 350 m2/g beträgt. Diese Partikel weisen in der Regel eine besonders hohe photokatalytische Aktivität auf.
  • Es kann aber bei anderen Bedingungen auch vorteilhaft sein, wenn die mittlere Teilchengröße der Titandioxidpartikel oder titandioxidhaltigen Partikel 100 bis 300 nm und die BET-Oberfläche der Titandioxidpartikel oder titandioxidhaltigen Partikel 2 bis 25 m2/g beträgt, was die Erfindung ebenfalls vorsieht.
  • Die Bestimmung der BET-Oberfläche erfolgt nach DIN 66131. Die Vorbehandlung der Proben erfolgt durch Entgasen bei 140°C für 1 Stunde.
  • Zweckmäßig ist es weiterhin, wenn die Teilchengrößenverteilung der Titandioxidpartikel oder titandioxidhaltigen Partikel monomodal ist.
  • Hierdurch wird eine bessere Uniformität der Partikel in der Polierflüssigkeit erzielt, die für die Prozessführung wünschenswert ist und somit eine Verbesserung des Stands der Technik darstellt, da bereits geringe Mengen an Überkorn zu Kratzern und/oder Inhomogenitäten auf den zu polierenden Oberflächen führen können. Der Nassmahlung der Titandioxidpartikel oder titandioxidhaltigen Partikel kommt deshalb eine ganz entscheidende Bedeutung zu.
  • Von Vorteil ist es weiterhin, wenn der Anteil an Ti2O3 in den Titandioxidpartikeln oder titandioxidhaltigen Partikeln kleiner als 1 Gew.-%, bevorzugt kleiner als 0,001 Gew.-% ist.
  • Weiter zeichnet sich die Erfindung in Ausgestaltung dadurch aus, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel 20 bis 2000 ppm Niob (Nb), bevorzugt 50 bis 500 ppm an Nb, enthalten. Dies ist insbesondere von Vorteil, wenn in den Titandioxidpartikeln oder titandioxidhaltigen Partikeln das Molverhältnis von Niob zu Aluminium Nb/Al > 1, bevorzugt >10, und/oder das Molverhältnis von Niob zu Zink Nb/Zn > 1, bevorzugt >10, beträgt. Bei solchen Verhältnissen dieser Elemente wird ein besonders photokatalytisch aktives Material erhalten.
  • Die Erfindung sieht weiterhin vor, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel durch Kalzinierung ohne Zugabe von Na, P, Al, und/oder Zn hergestellt sind. Diese Elemente tragen zur Stabilisierung gegenüber Lichteinstrahlung und damit zur Minimierung des photokatalytischen Effekts bei und können außerdem einen Einfluss auf die Ladungsträger-Lebensdauer im Halbleiterbauelement haben. Bevorzugt beträgt der Gehalt der Titandioxidpartikel oder titandioxidhaltigen Partikel an Na, P, Al und/oder Zn weniger als 500 ppm, bevorzugt weniger als 100 ppm.
  • Von Vorteil ist es weiterhin, wenn der Rutilgehalt der Titandioxidpartikel oder titandioxidhaltigen Partikel weniger als 10 Gew.-%, bevorzugt weniger als 1 Gew.-%, beträgt, da die photokatalytischen Eigenschaften von Anatas in der Regel stärker ausgeprägt sind als diejenigen von Rutil.
  • Von Vorteil ist es weiterhin, wenn die Helligkeit Y nach DIN 53163 der Titandioxidpartikel oder titandioxidhaltigen Partikel weniger als 90, bevorzugt weniger als 85, beträgt, weil diese Werte in der Regel mit einer höheren photokatalytischen Aktivität einhergehen.
  • Von Vorteil ist es weiterhin, wenn die Titandioxidpartikel oder titandioxidhaltigen Partikel weniger als 2000 ppm, bevorzugt weniger als 20 ppm, an Chlorid enthalten, weil dies die photokatalytischen Eigenschaften positiv beeinflussen kann.
  • Von Vorteil ist es weiterhin, wenn die Titandioxidpartikel oder titandioxidhaltigen Partikel 0,0001 bis 10 Gew.-%, bevorzugt 0,1 bis 2,5 Gew.-%, Sulfat enthalten, weil dadurch zum einen die chemische Aktivität und zum anderen die photokatalytischen Eigenschaften positiv beeinflusst werden können.
  • Von Vorteil ist es weiterhin, wenn die Titandioxidpartikel oder titandioxidhaltigen Partikel weniger als 100 ppm, bevorzugt weniger als 15 ppm, an Eisen oder anderen Schwermetallenenthalten.
  • Ein geringer Gehalt an Schwermetallen in Polierflüssigkeiten ist für mikroelektronische Anwendungen anzustreben, da hierdurch die Kontamination der zu polierenden Substrate und damit die Zuverlässigkeit des Halbleiter-Bauelements günstig beeinflusst wird und der Eintrag von Kontaminationen in das Substrat, der die Ladungsträger-Lebensdauer negativ beeinflusst, minimiert bzw. verhindert wird.
  • Üblicherweise wird der CMP-Prozess – auch mit der erfindungsgemäßen Zusammensetzung – bei pH-Werten von 9 bis 11 für Oxid-CMP (z.B. SiO2) bzw. bei pH-Werten von 3 bis 7 bei Metall-CMP (z.B. Kupfer) durchgeführt.
  • Gemäß weiterer Ausgestaltung sieht die Erfindung demgegenüber vor, dass die Zusammensetzung einen pH-Wert von kleiner als 2, bevorzugt kleiner als 1, oder einen pH-Wert von größer als 12, bevorzugt größer als 13, aufweist.
  • Eine vorteilhafte Variante der Erfindung besteht darin, dass die erfindungsgemäße Zusammensetzung mit Titandioxid bzw. den Titandioxidpartikeln oder den titandioxidhaltige Partikeln als Abrasiv einen pH-Wert von größer als 12, bevorzugt größer als 13, aufweist. Im Gegensatz zu den nach dem Stand der Technik verwendeten Zusammensetzungen, welche SiO2 oder Al2O3 als Abrasiv enthalten, weist das Titandioxid in der erfindungsgemäßen Zusammensetzung auch bei extrem hohen pH-Werten keinerlei Löslichkeit auf. Auf diese Weise kann insbesondere beim CMP- Prozess an oxidischen Oberflächen (z.B. SiO2) die Abtragsrate erheblich gesteigert werden.
  • Aber auch bei niedrigen pH-Werten von kleiner als 2, bevorzugt kleiner als 1, weist Titandioxid eine sehr hohe Stabilität auf. Insbesondere in salzsaurer Lösung weist Titandioxid (im Gegensatz zu SiO2 oder Al2O3) in der erfindungsgemäßen Zusammensetzung auch bei extrem niedrigen pH-Werten keine nennenswerte Löslichkeit auf. Auf diese Weise kann insbesondere beim CMP-Prozess an metallischen Oberflächen (z.B. Cu, W oder Ta) die Abtragsrate erheblich gesteigert werden.
  • Von Vorteil kann es weiterhin sein, wenn die Titandioxidpartikel oder titandioxidhaltigen Partikel mit einer anorganischen und/oder einer organischen Verbindung beschichtet sind.
  • Somit können Zeta-Potential, Oberflächenmorphologie und tribologische Eigenschaften der Abrasiv-Partikel je nach Erfordernis durch das zu polierende Substrat gezielt eingestellt werden.
  • Beispielsweise können die Titandioxidpartikel oder titandioxidhaltigen Partikel mit einem Oxid, Hydroxid oder Oxyhydroxid der Elemente Si, Zr, Zn, Al, Ti, Ce, oder Sn beschichtet sein, was die Erfindung auch vorsieht.
  • Von Vorteil kann es beispielsweise weiterhin sein, wenn die Titandioxidpartikel oder titandioxidhaltigen Partikel mit Metallen, Metallverbindungen, Edelmetallen oder Edelmetallverbindungen – dies zum Zwecke der Steigerung oder gezielten Steuerung der photokatalytischen Eigenschaften – beschichtet sind, was die Erfindung auch auszeichnet.
  • Es ist für das zu polierende Substrat dabei jeweils abzuwägen, ob die gezielte Beeinflussung der Eigenschaften (z.B. der photokatalytischen Eigenschaften) der erfindungsgemäßen Zusammensetzung durch Fremdmetalle oder deren Verbindungen (mittels Beschichtung, Adsorption oder Einbau in das Kristallgitter der Titandioxidpartikel oder titandioxidhaltigen Partikel) erfolgt oder ob spezifische Anforderungen hinsichtlich Kontaminationsfreiheit die größere Bedeutung haben.
  • Von Vorteil ist es weiterhin, wenn die Titandioxidpartikel oder titandioxidhaltigen Partikel nach der Beschichtung mit einer anorganischen und/oder einer organischen Verbindung erstmalig oder erneut einer bzw. der Nassmahlung unterzogen werden bzw. einen solchen Schritt durchlaufen, was die Erfindung ebenfalls kennzeichnet.
  • Dieser Verfahrensschritt kann eingesetzt werden, um Zeta-Potential, Oberflächenmorphologie, tribologische Eigenschaften, Komplexierungseigenschaften u.a. physikalisch-chemische Eigenschaften der Abrasiv-Partikel einzustellen und somit z. B. die Selektivität, Abtragsleistung oder die Eigenschaften hinsichtlich des Post-CMP Cleaning positiv zu beeinflussen.
  • Von Vorteil ist es weiterhin, wenn die Zusammensetzung die Titandioxidpartikel oder titandioxidhaltigen Partikel in Mischung mit einem oder mehreren anderen Abrasiv(en) enthält.
  • Besonders vorteilhaft ist dabei, eine Mischung verschiedener Bestandteile zu verwenden, von denen das Titandioxid überwiegend (aber nicht nur) photokatalytisch wirkt, während andere Bestandteile chemisch oder mechanisch wirken.
  • Somit kann z.B. die Selektivität einer Polierflüssigkeit hinsichtlich der Substratoberfläche gezielt eingestellt werden.
  • Von Vorteil ist es weiterhin, wenn die Zusammensetzung die Titandioxidpartikel oder titandioxidhaltigen Partikel in Mischung mit einem Titanoxidhydrat enthält, was die Erfindung weiterhin vorsieht.
  • Unter einem Titanoxidhydrat wird eine Verbindung verstanden, welche eine Zusammensetzung mit der Näherungsformel TiO2·xH2O·yH2SO4 enthält, wobei der H2O-Gehalt der Titanoxidhydratpartikel 0,4-25 Gew.-%, bevorzugt 2-10 Gew.-%, und der H2SO4-Gehalt 0-15 Gew.-%, bevorzugt 0,1-10 Gew.-%, beträgt. Hierbei können weiterhin bis zu 10 Gew.-%, bevorzugt bis zu 3 Gew.-%, an weiteren anorganischen und/oder organischen Bestandteile enthalten sein. Auf diese Weise können die photokatalytischen Eigenschaften des Titanoxidhydrats gut mit den abrasiven Eigenschaften von TiO2 kombiniert werden und positive Synergieeffekte ausgenutzt werden.
  • Bevorzugt enthält die Zusammensetzung die Titandioxidpartikel oder titandioxidhaltigen Partikel in einem Anteil von 0,1 bis 50 Gew.-%, besonders bevorzugt 3 bis 20 Gew.-%. Die für den jeweiligen Einsatzzweck optimale Konzentration kann der Fachmann durch einfache Versuche leicht ermitteln.
  • Von Vorteil ist es weiterhin, wenn die Titandioxidpartikel oder titandioxidhaltigen Partikel durch Hydrolyse von Titanylsulfatlösung, anschließende Abtrennung des erhaltenen Titanoxidhydrats, gegebenenfalls Reinigung des Titanoxidhydrats und anschließende Kalzinierung hergestellt werden und als abschließender Schritt die Nassmahlung folgt.
  • Auf diese Weise lassen sich Titandioxidpartikel zur Venrvendung in einer erfindungsgemäßen Zusammensetzung in guter Qualität kostengünstig herstellen. Dieses Verfahren, welches dem großtechnischen Verfahren für die Herstellung von Titandioxidpigmenten ähnlich ist, gewährleistet eine ausreichende Verfügbarkeit und gleichmäßige Produkteigenschaften.
  • Bei einem Verfahren der eingangs bezeichneten Art wird die oben stehende Aufgabe dadurch gelöst, dass während des chemisch-mechanischen Polierens eine Zusammensetzung nach einem der Ansprüche 1 – 29 auf die Oberfläche des Bauelementes aufgebracht und polierend über die Oberfläche bewegt wird.
  • Hierdurch ergeben sich dieselben Vorteile wie sie vorstehend zu der Zusammensetzung aufgeführt sind.
  • In vorteilhafter Ausgestaltung sieht die Erfindung vor, dass während des chemisch-mechanischen Polierens eine Zusammensetzung nach einem der Ansprüche 1 – 29 einer Bestrahlung mit sichtbarem und/oder ultraviolettem Licht zur Ausnutzung eines photokatalytischen Effektes ausgesetzt wird.
  • Weiterhin wird die oben stehende Aufgabe gelöst durch ein mikroelektronisches Bauelement, insbesondere ein Halbleiterelement, und/oder mechanisches Bauelement, insbesondere mikroelektromechanisches Bau- oder Halbleiterelement (MEMS), hergestellt nach dem vorstehenden Verfahren.
  • Schließlich wird die oben stehende Aufgabe gelöst durch ein Chemisch-mechanisches Polieren (CMP) unter Verwendung einer Zusammensetzung nach einem der oben stehenden Ansprüche.
  • Vorteilhaft ist es gemäß Ausgestaltung der Erfindung, wenn eine metallische, eine elektrisch leitende und/oder eine Dielektrikumstruktur chemisch-mechanisch poliert wird. Und besonders vorteilhaft ist es, wenn eine kupferhaltige (Cu) – haltige Struktur chemisch-mechanisch poliert wird, was die Erfindung in Ausgestaltung schließlich vorsieht.
  • Die Erfindung ist nachstehend anhand einiger ausgewählter Beispiele näher erläutert, wobei die Erfindung keineswegs auf die spezifischen Beispiele beschränkt ist.
  • CMP-Abtragseigenschaft bei Siliziumdioxid-Schichten
  • Das Abtragsverhalten der dieser Erfindung zugrunde liegenden Zusammensetzungen in CMP-Prozessen wurde in diversen Poliertests überprüft, die alle auf einem Peter Wolters PM200 Gemini CMP-Clustertool von Peter Wolters Surface Technologies GmbH, ausgestattet mit Poliermaschine, Bürstenreiniger und automatischem Wafer-Handling, durchgeführt wurden. Als Substrate dienten 150 mm (Durchmesser) Silizium-Wafer mit einer Beschichtung von 1000 nm SiO2 (thermisch oxidiert).
  • Neben den titanoxidhaltigen Dispersionen, die im Weiteren einzeln beschrieben werden, wurde auch eine für die Herstellung mikroelektronischer Bauelemente kommerziell erhältliche Dispersion als Polierflüssigkeit verwendet (Klebosol 30N50, Rohm & Haas Electronic Materials/Clariant, Feststoffgehalt 30 % kolloidales SiO2). Als Poliertuch wurde ein Suba 500 von Rohm & Haas Electronic Materials eingesetzt. Für alle Polierprozesse wurden die in Tabelle 1 zusammengefassten Maschinenparameter verwendet.
  • Tabelle 1: Maschinenparameter der Polierprozesse
    Figure 00210001
  • Für jede Dispersion wurden 3 Wafer für je 120 s poliert. Nach jedem Wafer wurde das Poliertuch durch eine Nylon-Bürste konditioniert. Kontroll-Wafer wurden zwischen den jeweiligen Test-Dispersionen behandelt, um eine Verfälschung der Messwerte durch Verschleppung auszuschließen bzw. zu minimieren. Die zweifache Reinigung der Wafer nach dem Polierschritt erfolgte mit Hilfe von PVA-Bürsten und entionisiertem Wasser. Die mit den Dispersionen erzielten Abtragsleistungen und die Non-Uniformity wurden nach erfolgter Politur und Reinigung durch reflektometrische Messungen der Oxid-Schichtdicke mit einem Sentech Spektralphotometer ermittelt.
  • Die der Erfindung zugrunde liegenden titandioxidhaltigen Materialien wurden (sofern nicht anders spezifiziert) in Form wässriger Dispersionen mit einem Feststoffgehalt von 25 Gew.-% im pH-Bereich von 9 – 10 als Polierflüssigkeiten getestet. Die Zusammensetzung der Polierflüssigkeiten sowie die Polierergebnisse sind in Tabelle 2 zusammengefasst.
  • Tabelle 2: Zusammensetzung und Polierergebnisse der getesteten Dispersionen für SiO2-CMP
    Figure 00220001
  • Die erfindungsgemäße Dispersion 1-D zeigt von den aufgeführten Beispielen die höchste Abtragsleistung; diese ist jedoch für einen typischen Oxid-CMP-Prozess zu hoch. Hierzu wird die Feststoffkonzentration zweckmäßig herabgesetzt und somit die Abtragsrate an die Erfordernisse angepasst. Schäden auf der polierten Oberfläche durch Partikelkontamination und Ausbildung von Kratzern werden nicht beobachtet.
  • Die erfindungsgemäße Dispersion 1-B zeigt eine gute Abtragsleistung und eine gute Uniformität, die auf eine vorteilhafte Verteilung der Abrasivpartikel während des Poliervorgangs zurückgeführt werden kann. Schäden auf der polierten Oberfläche durch Partikelkontamination und Ausbildung von Kratzern werden nicht beobachtet.
  • Die Vergleichsdispersion 1-J enthält kommerziell verfügbares pyrogenes TiO2 und zeigt eine höhere Abtragsleistung, verursacht jedoch Schäden auf der polierten Oberfläche durch Partikelkontamination und Ausbildung von Kratzern.
  • Daher zeigen die anderen untersuchten Dispersionen beim Polieren Vorteile bezüglich der variablen Abtragsrate, Non-Uniformity und Defektdichte im Vergleich zu den untersuchten Dispersionen auf Basis von kolloidalem Siliziumdioxid (Klebosol 30N50) oder pyrogenem Titandioxid (Degussa P25) bei der Vergleichsdispersion 1-J.
  • Es ist offensichtlich, dass die hier beispielhaft beschriebenen titanoxidhaltigen Dispersionen eine gute Abtragsleistung aufweisen und sich vorteilhaft bezüglich des Post-CMP-cleaning und der Defektdichte auf der polierten Oberfläche verhalten. Die vorgestellten Versuchsergebnisse können durch die Kombination mit Additiven und Hilfsstoffen oder Anpassung der Produktionsbedingungen der titandioxidhaltigen Materialien (je nach gewünschtem Verhältnis von chemischer, mechanischer oder (photo)katalytischer Aktivität) sowie durch eine verfeinerte CMP-Prozessführung hinsichtlich ihres Abtragsverhaltens gezielt auf unterschiedliche, in einem industriellen Fertigungsschritt zu polierende Oberflächen übertragen werden.

Claims (35)

  1. Zusammensetzung in Form einer Dispersion oder einer Slurry für das chemisch-mechanische Polieren (CMP) bei der Herstellung von elektronischen oder mikroelektronischen Bauelementen, insbesondere Halbleiterelementen, und/oder eines mechanischen Bauelementes, insbesondere eines mikroelektromechanischen Bau- oder Halbleiterelementes (MEMS), dadurch gekennzeichnet, dass die Zusammensetzung Titandioxidpartikel oder titandioxidhaltige Partikel enthält, welche vor, insbesondere unmittelbar vor, oder bei Zubereitung der Dispersion oder der Slurry einem Nassmahlungsschritt bzw. einer Nassmahlung ausgesetzt sind.
  2. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Nassmahlung der Titandioxidpartikel oder titandioxidhaltigen Partikel in einer Kugelmühle, Kugelschwingmühle, Perlmühle oder Rührwerkkugelmühle erfolgt.
  3. Zusammensetzung nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass die Zusammensetzung Titandioxidpartikel oder titandioxidhaltige Partikel mit einem TiO2-Anteil von 5 bis 100 Gew.-%, bevorzugt 75 bis 99 Gew.-%, besonders bevorzugt 90 bis 99 Gew.-%, enthält.
  4. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der D90-Wert der Teilchengrößenverteilung der Titandioxidpartikel oder titandioxidhaltigen Partikel <8,0 μm, bevorzugt <1,2 μm, besonders bevorzugt <0,8 μm, beträgt.
  5. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der D98-Wert der Teilchengrößenverteilung der Titandioxidpartikel oder titandioxidhaltigen Partikel <10,0 μm, bevorzugt <1,8 μm, besonders bevorzugt <1,0 μm beträgt.
  6. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass D50-Wert der Teilchengrößenverteilung (die mittlere Teilchengröße ) der Titandioxidpartikel oder titandioxidhaltigen Partikel 3 bis 1000 nm, bevorzugt 15 bis 300 nm beträgt.
  7. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die BET-Oberfläche der Titandioxidpartikel oder titandioxidhaltigen Partikel 0,1 bis 350 m2/g, bevorzugt 4 bis 150 m2/g beträgt.
  8. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die mittlere Teilchengröße (D50-Wert) der Teilchengrößenverteilung der Titandioxidpartikel oder titandioxidhaltigen Partikel 10 bis 40 nm und die BET-Oberfläche der Titandioxidpartikel oder titandioxidhaltigen Partikel 50 bis 250 m2/g beträgt.
  9. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die mittlere Teilchengröße (D50-Wert) der Teilchengrößenverteilung der Titandioxidpartikel oder titandioxidhaltigen Partikel 100 bis 300 nm und die BET-Oberfläche der Titandioxidpartikel oder titandioxidhaltigen Partikel 2 bis 25 m2/g beträgt.
  10. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Teilchengrößenverteilung der Titandioxidpartikel oder titandioxidhaltigen Partikel monomodal ist.
  11. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Anteil an Ti2O3 in den Titandioxidpartikeln oder titandioxidhaltigen Partikeln kleiner als 1 Gew.-%, bevorzugt kleiner als 0,001 Gew.-% ist.
  12. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel 20 bis 2000 ppm Niob (Nb), bevorzugt 50 bis 500 ppm an Nb, enthalten.
  13. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass in den Titandioxidpartikeln oder titandioxidhaltigen Partikeln das Molverhältnis von Niob zu Aluminium Nb/Al > 1, bevorzugt >10, und/oder das Molverhältnis von Niob zu Zink Nb/Zn > 1, bevorzugt >10, beträgt.
  14. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel durch Kalzinierung ohne Zugabe von Na, P, Al und/oder Zn hergestellt werden.
  15. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Gehalt der Titandioxidpartikel oder titandioxidhaltigen Partikel an Na, P, Al und/oder Zn weniger als 500 ppm, bevorzugt weniger als 100 ppm, beträgt.
  16. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Rutilgehalt der Titandioxidpartikel oder titandioxidhaltigen Partikel weniger als 10 Gew.-%, bevorzugt weniger als 1 Gew.-%, beträgt.
  17. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass für die Titandioxidpartikel oder titandioxidhaltigen Partikel die Helligkeit als Normfarbwert Y nach DIN 53163 weniger als 90, bevorzugt weniger als 85, beträgt.
  18. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel weniger als 2000 ppm, bevorzugt weniger als 20 ppm, an Chlorid enthalten.
  19. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel 0,0001 bis 10 Gew.-%, bevorzugt 0,1 bis 2,5 Gew.-%, Sulfat enthalten.
  20. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel weniger als 100 ppm, bevorzugt weniger als 15 ppm, an Eisen, Aluminium, oder Natrium enthalten.
  21. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass sie einen pH-Wert von kleiner als 2, bevorzugt kleiner als 1, oder einen pH-Wert von größer als 12, bevorzugt größer als 13, aufweist.
  22. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel mit einer anorganischen und/oder einer organischen Verbindung beschichtet sind.
  23. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel mit einem Oxid, Hydroxid oder Oxyhydroxid der Elemente Si, Zr, Zn, Al, Ti, Ce oder Sn beschichtet sind.
  24. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel mit Metallen, Metallverbindungen, Edelmetallen oder Edelmetallverbindungen beschichtet sind.
  25. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel dem Nassmahlungsschritt nach der Beschichtung mit einer anorganischen und/oder einer organischen Verbindung ausgesetzt sind.
  26. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass sie die Titandioxidpartikel oder titandioxidhaltigen Partikel in Mischung mit einem oder mehreren anderen Abrasiv(en) enthält.
  27. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass sie die Titandioxidpartikel oder titandioxidhaltigen Partikel in Mischung mit einem Titanoxidhydrat enthält.
  28. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass sie die Titandioxidpartikel oder titandioxidhaltigen Partikel in einem Anteil von 0,1 bis 50 Gew.-%, bevorzugt 3 bis 20 Gew.-%, enthält.
  29. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titandioxidpartikel oder titandioxidhaltigen Partikel durch Hydrolyse von Titanylsulfatlösung, anschließende Abtrennung des erhaltenen Titanoxidhydrats, gegebenenfalls Reinigung des Titanoxidhydrats und anschließende Kalzinierung hergestellt sind.
  30. Verfahren zur Herstellung eines elektronischen oder mikroelektronischen Bauelementes, insbesondere eines Halbleiterelementes, und/oder eines mechanischen Bauelementes, insbesondere eines mikroelektromechanischen Bau- oder Halbleiterelementes (MEMS), welches unter dem Einfluss einer titanhaltigen Zusammensetzung in Form einer Dispersion bzw. einer Slurry einem chemisch-mechanischen Polier – Verfahren (CMP) unterworfen wird, dadurch gekennzeichnet, dass während des chemisch-mechanischen Polierens eine Zusammensetzung nach einem der Ansprüche 1 – 29 auf die Oberfläche des Bauelementes aufgebracht und polierend über die Oberfläche bewegt wird.
  31. Verfahren nach Anspruch 30, dadurch gekennzeichnet, dass während des chemisch-mechanischen Polierens eine Zusammensetzung nach einem der Ansprüche 1 – 29 einer Bestrahlung mit sichtbarem und/oder ultraviolettem Licht zur Ausnutzung eines photokatalytischen Effektes ausgesetzt wird.
  32. Mikroelektronisches Bauelement, insbesondere ein Halbleiterelement, und/oder mechanisches Bauelement, insbesondere mikroelektromechanisches Bau- oder Halbleiterelement (MEMS), hergestellt nach einem Verfahren gemäß Anspruch 30 oder 31.
  33. Chemisch-mechanisches Polieren (CMP) durchgeführt unter Verwendung einer Zusammensetzung nach einem der Ansprüche 1 – 29.
  34. Chemisch-mechanisches Polieren nach Anspruch 33, dadurch gekennzeichnet, dass eine metallische, eine elektrisch leitende und/oder eine Dielektrikumstruktur chemisch-mechanisch poliert wird.
  35. Chemisch-mechanisches Polieren nach Anspruch 34, dadurch gekennzeichnet, dass eine kupferhaltige Struktur chemisch-mechanisch poliert wird.
DE200410020230 2004-04-22 2004-04-22 Zusammesetzung für das Chemisch-Mechanische Polieren (CMP) Ceased DE102004020230A1 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE200410020230 DE102004020230A1 (de) 2004-04-22 2004-04-22 Zusammesetzung für das Chemisch-Mechanische Polieren (CMP)
PCT/EP2005/003851 WO2005104205A1 (de) 2004-04-22 2005-04-12 Zusammensetzung für das chemisch-mechanische polieren (cmp)
TW094112721A TW200609318A (en) 2004-04-22 2005-04-21 Composition for chemical-mechanical polishing (cmp)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE200410020230 DE102004020230A1 (de) 2004-04-22 2004-04-22 Zusammesetzung für das Chemisch-Mechanische Polieren (CMP)

Publications (1)

Publication Number Publication Date
DE102004020230A1 true DE102004020230A1 (de) 2005-11-24

Family

ID=34963660

Family Applications (1)

Application Number Title Priority Date Filing Date
DE200410020230 Ceased DE102004020230A1 (de) 2004-04-22 2004-04-22 Zusammesetzung für das Chemisch-Mechanische Polieren (CMP)

Country Status (3)

Country Link
DE (1) DE102004020230A1 (de)
TW (1) TW200609318A (de)
WO (1) WO2005104205A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2208767A2 (de) 2009-01-12 2010-07-21 crenox GmbH Verfahren zum Polieren mit Hilfe titanhaltiger Poliermittel

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030019162A1 (en) * 2000-08-18 2003-01-30 J.M. Huber Corporation Method for making abrasive compositions and products thereof

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6177026B1 (en) * 1998-05-26 2001-01-23 Cabot Microelectronics Corporation CMP slurry containing a solid catalyst
US6740590B1 (en) * 1999-03-18 2004-05-25 Kabushiki Kaisha Toshiba Aqueous dispersion, aqueous dispersion for chemical mechanical polishing used for manufacture of semiconductor devices, method for manufacture of semiconductor devices, and method for formation of embedded writing
JP2003113370A (ja) * 2001-07-30 2003-04-18 Toshiba Corp 化学的機械的研磨用スラリー、半導体装置の製造方法、半導体装置の製造装置、及び化学的機械的研磨用スラリーの取り扱い方法
KR100432637B1 (ko) * 2001-08-07 2004-05-22 제일모직주식회사 구리배선 연마용 cmp 슬러리
TWI231523B (en) * 2003-06-18 2005-04-21 Hon Hai Prec Ind Co Ltd Method of cleaning surface of semiconductor wafer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030019162A1 (en) * 2000-08-18 2003-01-30 J.M. Huber Corporation Method for making abrasive compositions and products thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2208767A2 (de) 2009-01-12 2010-07-21 crenox GmbH Verfahren zum Polieren mit Hilfe titanhaltiger Poliermittel

Also Published As

Publication number Publication date
TW200609318A (en) 2006-03-16
WO2005104205A1 (de) 2005-11-03

Similar Documents

Publication Publication Date Title
CN1849379B (zh) 用于化学机械抛光的磨料颗粒
Srinivasan et al. Shallow trench isolation chemical mechanical planarization: a review
DE10164262A1 (de) Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen
DE60008376T2 (de) Aufschlämmungszusammensetzung und verfahren zum chemisch-mechanischen polieren
DE69933015T2 (de) Suspension zum chemisch-mechanischen polieren von kupfersubstraten
EP1737793A1 (de) Zusammensetzung für das chemisch-mechanische polieren (cmp)
DE69828925T2 (de) Schleifzusammensetzung enthaltend einen Inhibitor für das Ätzen von Wolfram
DE60122413T2 (de) Wässrige Dispersion zum chemisch-mechanischen Polieren von Isolierfilmen
DE69734138T2 (de) Suspension zum chemisch-mechanischen Polieren von Kupfersubstraten
DE69728691T2 (de) Zusammensetzung zum chemisch-mechanischen polieren von oxyden
DE60127206T2 (de) Wässrige Dispersion zum chemisch-mechanischen Polieren von Kupfersubstraten
KR19980063805A (ko) 연마 성분 및 방법
US20040216388A1 (en) Slurry compositions for use in a chemical-mechanical planarization process
DE102011013982B4 (de) Verfahren zum chemisch-mechanischen Polieren eines Substrats mit einer Polierzusammensetzung, die zur Erhöhung der Siliziumoxidentfernung angepasst ist.
DE60013921T2 (de) Polieraufschlämmung
DE102010018423A1 (de) Verfahren zum chemisch-mechanischen Polieren eines Substrats
KR20070105301A (ko) 메탈레이트 개질된 실리카 입자를 함유하는 수성 슬러리
TW201441419A (zh) 塗佈有金屬化合物的膠態粒子、其製造方法及用途
EP1283250B1 (de) Polierzusammensetzung und Polierverfahren unter deren Verwendung
DE102018006078A1 (de) Chemisch-mechanisches polierverfahren für wolfram
DE60003591T2 (de) Verfahren zum chemisch mechanischen Polieren von einer leitfähigen Aluminum- oder Aluminiumlegierungschicht
DE112005003745B4 (de) Aufschlämmzusammensetzung für das chemisch-mechanische Polieren zum Polieren von polykristallinem Siliciumfilm und Verfahren zur Herstellung derselben
EP1306415A2 (de) Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall-Dielektrikastrukturen mit hoher Selektivität
DE10060343A1 (de) Polierslurry für das chemisch-mechanische Polieren von Metall- und Dielektrikastrukturen
TWI488952B (zh) Cmp研磿液以及使用此cmp研磨液的研磨方法以及半導體基板的製造方法

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection