CN218004864U - 半导体装置 - Google Patents

半导体装置 Download PDF

Info

Publication number
CN218004864U
CN218004864U CN202221791602.XU CN202221791602U CN218004864U CN 218004864 U CN218004864 U CN 218004864U CN 202221791602 U CN202221791602 U CN 202221791602U CN 218004864 U CN218004864 U CN 218004864U
Authority
CN
China
Prior art keywords
source
layer
region
semiconductor
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202221791602.XU
Other languages
English (en)
Inventor
范玮寒
林家彬
李威养
邱子华
郑宽豪
林柏劭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Application granted granted Critical
Publication of CN218004864U publication Critical patent/CN218004864U/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)

Abstract

一种半导体装置,包括鳍状物,自基板延伸且包括半导体通道层;内侧间隔物,位于相邻的半导体通道层之间以及通道区的侧部上。内侧间隔物包括碟化区以面对源极/漏极区。半导体装置还包括源极/漏极结构,位于源极/漏极区中并接触内侧间隔物的碟形区与半导体通道层的末端部分。

Description

半导体装置
技术领域
本实用新型实施例涉及半导体装置,尤其涉及内侧间隔物的碟化区。
背景技术
电子产业对更小、更快、且可同时支持大量复杂功能的电子装置的需求持续增加。综上所述,半导体产业的持续趋势为制造低成本、高效能、与低能耗的集成电路。达成这些目标的主要方法为缩小半导体集成电路尺寸(如最小结构尺寸),进而改善产能并降低相关成本。然而尺寸缩小亦增加半导体制造工艺的复杂度。因此为了实现半导体集成电路与装置的持续进展,半导体制造工艺与技术亦需类似进展。
近来已导入多栅极装置,其可增加栅极-通道耦合以改善栅极控制、减少关闭状态电流、并减少短通道效应。导入的多栅极装置之一为鳍状场效晶体管。鳍状场效晶体管的名称来自于由基板形成自基板延伸的鳍状结构,其可用于形成场效晶体管通道。为了解决鳍状场效晶体管相关的效能挑战所导入的另一多栅极装置,为全绕式栅极晶体管。全绕式栅极晶体管的名称来自于栅极结构完全延伸于通道区周围,可比鳍状场效晶体管提供更佳的静电控制。鳍状场效晶体管与全绕式栅极晶体管可与现有的互补式金属氧化物半导体工艺相容,且其三维结构可在大幅缩小尺寸时维持栅极控制并缓解短通道效应。
一般而言,在鳍状场效晶体管不再符合效能需求时,可实施全绕式栅极晶体管。然而制作全绕式栅极等离子体体将导入新的挑战至半导体制造工艺中,并造成相关的装置可信度疑虑。因此现有技术仍未证实可符合所有方面的需求。
实用新型内容
本实用新型的目的在于提出一种半导体装置,以解决上述至少一个问题。
在一实施例中,半导体装置包括鳍状物自基板延伸。在多种例子中,鳍状物包括多个半导体通道层。在一些实施例中,半导体装置还包括多个内侧间隔物,位于相邻的半导体通道层之间以及通道区的侧部上。在多种例子中,内侧间隔物包括碟化区面对源极/漏极区。在一些实施例中,半导体装置还包括源极/漏极结构,位于源极/漏极区中并接触内侧间隔物的碟形区与半导体通道层的末端部分。
根据本实用新型其中的一个实施方式,接触多个所述内侧间隔物的该碟化区的该源极/漏极结构的部分亦插置于相邻的多个所述半导体通道层的末端之间。
根据本实用新型其中的一个实施方式,多个所述半导体通道层各自包括硅,且该源极/漏极结构包括硅锗。
根据本实用新型其中的一个实施方式,该碟化区具有一第一深度,其自一相邻的半导体通道层的露出的横向表面所定义的一第一平面测量至该碟化区的第一顶点。
根据本实用新型其中的一个实施方式,该第一深度大于1nm。
根据本实用新型其中的一个实施方式,一相邻的半导体通道层的水平表面与该碟化区的露出表面之间定义一角度θ。
根据本实用新型其中的一个实施方式,该角度θ大于30度。
根据本实用新型其中的一个实施方式,该碟化区具有三角形或凹入形状。
根据本实用新型其中的一个实施方式,还包括一栅极结构包覆多个所述半导体通道层并与该源极/漏极结构相邻。
根据本实用新型其中的一个实施方式,该栅极结构包括一高介电常数的介电层与一金属栅极。
附图说明
图1为一些实施例中,多栅极装置的简化俯视布局图。
图2为本实用新型一或多个实施例中,制作半导体装置的方法的流程图。
图3、图4、图5A、图6A及图8为一些实施例中,半导体装置沿着实质上平行于图1所定义的剖面AA’的平面的剖视图。
图5B为一些实施例中,图5A所示的半导体装置A部分的放大图。
图6B为一些实施例中,图6A所示的半导体装置B部分的放大图。
图7及图10为一些实施例中,图6A所示的半导体装置的部分的放大图。图9及图11为一些实施例中,图8所示的半导体装置的部分的放大图。
附图标记如下:
θ:角度
AA’:剖面
D1,D2:深度
D10,D20,D30:距离
Tdep:厚度
100:多栅极装置
104,306:鳍状物
105,107:源极/漏极区
108:栅极结构
200:方法
202,204,206,208,210,212,214:步骤
300:装置
304:基板
304A:基板部分
308,310:外延层
316:栅极堆叠
319:牺牲层
320:介电层
322:电极层
324,326:硬掩模层
328:间隔物层
330:沟槽
402:凹陷
502:内侧间隔物材料
504,604:碟化区
506:轮廓
700,802A,900:部分
802:源极/漏极结构
具体实施方式
下述详细描述可搭配附图说明,以利理解本实用新型的各方面。值得注意的是,各种结构仅用于说明目的而未按比例绘制,如本业常态。实际上为了清楚说明,可任意增加或减少各种结构的尺寸。
下述内容提供的不同实施例或实例可实施本实用新型的不同结构。下述特定构件与排列的实施例用以简化本实用新型内容而非局限本实用新型。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触的实施例,或两者之间隔有其他额外构件而非直接接触的实施例。此外,本实用新型的多个实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。
此外,空间相对用语如“在…下方”、“下方”、“较低的”、“上方”、“较高的”、或类似用词,用于描述附图中一些元件或结构与另一元件或结构之间的关系。这些空间相对用语包括使用中或操作中的装置的不同方向,以及附图中所描述的方向。当装置转向不同方向时(旋转90度或其他方向),则使用的空间相对形容词也将依转向后的方向来解释。
此外,在后续内容中,给定层或其他结构的尺寸(如厚度、宽度、长度、或类似尺寸)有时可以采用“实质上相同”、“相同”、或“大约”等用语说明,此类用语可理解成列举值的+/-10%内或在比较值之间。举例来说,若将尺寸A描述为“实质上等于”尺寸B,则应理解尺寸A为尺寸B的+/-10%内。在另一例中,若层状物的厚度为大约100nm,则应理解层状物的厚度可介于90nm至110nm之间。
值得注意的是本实用新型实施例的形式为多栅极晶体管。多栅极晶体管的栅极结构形成于通道区的至少两侧上。这些多栅极装置可包括p型晶体管或n型晶体管。此处所述的具体例子可为鳍状场效晶体管,其名称来自于鳍状结构。此处所述的实施例的多栅极晶体管种类之一为全绕式栅极晶体管。全绕式栅极晶体管包括栅极结构或其部分形成于通道区的四侧上(比如围绕通道区的一部分)的任何装置。此处所示的装置亦具有通道区位于半导体通道层中。在多种实施例中,半导体通道层可包括纳米片通道、纳米线通道、棒状通道及/或其他合适的通道设置。此处所述的实施例中的装置,可具有一或多个通道区(如半导体通道层),其与单一的连续栅极结构相关。然而本技术领域中技术人员应理解此教示可用于单一通道(如单一半导体通道层)或多个通道。本技术领域中技术人员应理解,半导体装置的其他例子亦可得利于本实用新型实施例。
对全绕式栅极晶体管而言,内侧间隔物形成于相邻的半导体通道层的横向末端之间,以及形成于相邻的半导体通道层之间的通道区中的栅极结构与源极/漏极结构之间。一般而言,内侧间隔物的侧壁轮廓对装置与良率效能而言是关键。在例示性的全绕式栅极晶体管工艺流程中,可形成鳍状物(其包括外延堆叠层如交错的半导体通道层与虚置层),并形成一或多个虚置栅极堆叠于外延堆叠层上。进行源极/漏极蚀刻工艺,可移除装置的源极/漏极区(与虚置栅极堆叠相邻)中的外延堆叠层的部分以形成沟槽。源极/漏极蚀刻工艺可露出外延堆叠层的侧壁表面,包括半导体通道层与虚置层的侧壁表面。使虚置片状物凹陷的工艺可横向蚀刻虚置层,以沿着之前形成的沟槽的侧壁形成凹陷。接着可形成内侧间隔物于沿着沟槽侧壁的凹陷中。在至少一些公知的实施方式中,形成内侧间隔物的步骤可包括沿着沟槽侧壁沉积内侧间隔物材料,并沉积内侧间隔物材料于凹陷中。沉积的内侧间隔物材料可具有厚度Tdep。接着回蚀刻(修整)沉积的内侧间隔物材料,可自沟槽的侧壁表面移除内侧间隔物材料并保留内侧间隔物材料于凹陷中以形成内侧间隔物,其实质上填入沿着沟槽侧壁的凹陷。之后可形成源极/漏极结构于沟槽中,以接触全绕式栅极晶体管的相邻的内侧间隔物与半导体通道层。
对p型晶体管而言,一些实施方式中的半导体通道层可包括硅,且源极/漏极结构可包括硅锗。由于硅与硅锗之间的晶格不匹配,硅锗源极/漏极结构可诱发应力于硅通道层中。诱发的应力可增进通道迁移率,造成装置电流与装置效能改善。然而诱发于通道层中的应力可能受限于形成源极/漏极结构于其中的沟槽尺寸。换言之,源极/漏极结构的可行体积,可能限制源极/漏极结构诱发至半导体通道层上的应力量。
与现有技术相较,本实用新型实施例可提供优点,但应理解其他实施例可提供不同优点,此处不必说明所有优点,且所有实施例不必具有特定优点。举例来说,此处所述的实施例包括的方法与结构可增加源极/漏极结构的体积,以增加半导体通道层中诱发的应力,进而增进装置效能。与至少一些现有的实施方式相比,一些实施例在沿着沟槽侧壁沉积内侧间隔物材料并沉积内侧间隔物材料于凹陷中之后,可进行内侧间隔物回蚀刻(修整工艺)以自沟槽的侧壁表面移除内侧间隔物材料,亦自凹陷中移除内侧间隔物材料的部分,以形成沿着内侧间隔物的横向表面的碟化区(比如面对沟槽),进而增加后续形成于沟槽中的源极/漏极结构的体积。后续形成的源极/漏极结构将形成于沟槽中与沿着内侧间隔物的横向表面的碟化区中,可有效提供较大的体积以用于源极/漏极结构。这可增进半导体通道层中的应力并改善装置效能。在一些实施例中,内侧间隔物回蚀刻(修整)工艺可采用湿蚀刻、干蚀刻、或上述的组合。在一些例子中,内侧间隔物回蚀刻(修整)工艺可包括高温硫酸与过氧化氢的混合物与稀释氢氟酸的循环、臭氧与稀释氢氟酸的循环、或上述的组合。应理解内侧间隔物修整工艺所用的参数仅为例示性,且可在未偏离本实用新型实施例的范畴下采用其他参数。本技术领域中技术人员在本实用新型实施例的教示下,应可轻易理解其他实施例与优点。
为了说明下述内容,图1提供多栅极装置100的简化俯视图。在多种实施例中,多栅极装置100可包括鳍状场效晶体管装置、全绕式栅极晶体管、或其他种类的多栅极装置。多栅极装置100可包括自基板延伸的多个鳍状物104、位于鳍状物104之上与周围的栅极结构108、与源极/漏极区105及107,其中源极/漏极区105及107形成于鳍状物104之上、之中及或周围。当多栅极装置100包括全绕式栅极晶体管时,多栅极装置100的通道区可包括多个半导体通道层位于鳍状物104之中、栅极结构108之下、且沿着实质上平行于图1的剖面AA’的平面。在一些实施例中,亦可形成侧壁间隔物于栅极结构108的侧壁上。多栅极装置100的多种其他结构将搭配图2的方法详述如下。
图2为多种实施例中,制作含有多栅极装置的半导体装置300的方法200的附图,且半导体装置300具有碟化内侧间隔物轮廓以增加外延源极/漏极结构所用的体积。下述方法200可制作全绕式栅极晶体管。然而应理解方法200在不偏离本实用新型实施例的范畴下,同样可应用于其他种类的多栅极装置,或多栅极装置所实施的其他种类的装置。在一些实施例中,方法200可用于制作多栅极装置100,如图1所示的上述内容。因此上述的一或多个实施例的多栅极装置100亦可应用方法200。应理解方法200包括的步骤具有互补式金属氧化物半导体技术工艺流程的特征,因此仅简述于此。此外,在方法200之前、之后及/或之中可进行额外步骤。
值得注意的是,在含有特定装置型态(如p型装置或n型装置)的半导体装置300的区域中进行方法200的特定实施例。然而若未说明进行方法200时的装置所含的特定装置型态,则方法200的步骤应假定为可在含有多种装置型态的多个区域中进行(比如越过多种装置型态的区域)。此外,至少一些实施例的碟化内侧间隔物轮廓的优点(比如增加外延源极/漏极结构所用的体积)可用于p型装置与n型装置(比如施加通道应立至采用合适外延源极/漏极结构的p型装置或n型装置)。对p型装置与n型装置而言,一些例子的方法200所形成的装置结构的物理特性实质上相同。此外,半导体装置300可包括多种其他装置与结构,比如其他种类的装置如额外晶体管、双极接面晶体管、电阻、电容器、电感、二极管、熔丝及/或其他逻辑电路,但简化附图以利理解本实用新型实施例的实用新型概念。在一些实施例中,半导体装置300可包括内连线的多个半导体装置(如晶体管)。此外,值得注意的是方法200的工艺步骤(包括参考附图说明的任何内容)仅为例示性而非局限本实用新型实施例至权利要求未实际记载处。
方法200一开始的步骤202提供含有部分制作的装置的基板。如图3所示的一实施例中,步骤202提供部分制作的p型装置300。图3提供半导体装置300沿着与图1的剖面AA’平行的平面的剖视图(比如沿着鳍状物306的方向)。装置300可形成于基板304上。在一些实施例中,基板304可为半导体基板如硅基板。基板304可包括多种层状物,包括导电或绝缘层形成于半导体基板上。基板304可包括多种掺杂设置,端视本技术领域已知的设计需求而定。基板304亦可包括其他半导体如锗、碳化硅、硅锗、或钻石。基板304可改为包括半导体化合物及/或半导体合金。此外,基板304可视情况包括外延层、可具有增加效能所用的应力、可包括绝缘层上硅结构及/或具有其他合适的增进结构。
如图3所示,装置300包括的鳍状物306具有基板部分304A(由基板304形成),以及交错的第一组成的外延层310与第二组成的外延层308。在一些例子中,可形成浅沟槽隔离结构以隔离鳍状物306与相邻的鳍状物。在一实施例中,第一组成的外延层308包括硅锗,而第二组成的外延层310包括硅。值得注意的是,虽然附图中的外延层308及310在鳍状物306中具有特定的堆叠顺序,且外延层310为堆叠的最顶层,但其他设置亦属可能。举例来说,一些例子中的外延层308可改为外延层308及310的堆叠的最顶层。换言之,外延层308及310的成长顺序(即堆叠顺序)可改变或不同于附图,其仍属于本实用新型实施例的范畴。
在多种实施例中,外延层310(包括第二组成)或其部分可形成装置300的全绕式栅极晶体管的通道区。举例来说,外延层310可视作形成全绕式栅极晶体管的通道区所用的半导体通道层。在多种实施例中,半导体通道层(如外延层310或其部分)可包括纳米片通道、纳米线通道、棒状通道及/或其他合适的通道设置。半导体通道层亦可用于形成全绕式栅极晶体管的源极/漏极结构的部分,如下所述。
值得注意的是虽然附图中的鳍状物306包括四个外延层308与四个外延层310,但其仅用于说明目的而非局限本实用新型实施例至权利要求未实际记载处。可以理解的是可形成任何数目的外延层,而外延层的数目取决于全绕式栅极晶体管所用的半导体通道层所需的数目。在一些实施例中,外延层310(如半导体通道层)的数目介于3至10之间。
在一些实施例中,外延层308各自的厚度为约4nm至8nm,而外延层310各自的厚度为约4nm至8nm。如上所述,外延层310可作为后续形成的多栅极装置(如全绕式栅极晶体管)所用的通道区,且其厚度选择可至少部分依据装置效能考虑。外延层308可用于定义后续形成的多栅极装置所用的相邻通道区之间的间隙距离,且其厚度选择至少部分取决于装置效能考虑。
装置300可进一步包括栅极堆叠316形成于p型装置300的鳍状物306之上。在一实施例中,栅极堆叠316可为虚置(牺牲)栅极堆叠,其于装置300的后续工艺阶段中将移除并取代为最终金属栅极堆叠。举例来说,栅极堆叠316在后续工艺阶段中可取代为高介电常数的介电层与金属栅极。虽然本实用新型实施例以置换栅极(栅极后制)工艺说明(比如形成虚置栅极结构,之后取代为金属栅极堆叠),但其他设置(如栅极优先工艺)亦属可能。栅极堆叠316之下的鳍状物306的部分可视作装置300的通道区。栅极堆叠316亦可定义鳍状物306的源极/漏极区,比如与通道区相邻且位于通道区的两侧上的区域。
在一些实施例中,栅极堆叠316包括介电层320与电极层322。栅极堆叠316亦可包括一或多个硬掩模层324及326。在一些实施例中,硬掩模层324可包括氧化物层,而硬掩模层326可包括氮化物层。在一些实施例中,介电层320包括氧化硅。介电层320可额外或替代地包含氮化硅、高介电常数的介电材料、或其他合适材料。在一些实施例中,电极层322可包括多晶硅。在一些实施例中,硬掩模层324的氧化物包括垫氧化物层,其可包括氧化硅。在一些实施例中,硬掩模层326的氮化物包括垫氮化物层,其可包括氮化硅、氮氧化硅、或碳氮化硅。在一些例子中,可视情况直接形成牺牲层319于介电层320之下。视情况形成的牺牲层319可包括硅锗、锗、或其他合适材料,且在一些例子中可用于避免前述的工艺步骤中的纳米片损失(如外延层308及310的材料损失)。
在一些实施例中,可形成一或多个间隔物层328于栅极堆叠316的侧壁上。在一些例子中,一或多个间隔物层328可包括介电材料如氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、碳氧化硅、碳氮氧化硅、低介电常数(如介电常数小于7)的材料及/或上述的组合。在一些实施例中,一或多个间隔物层328包括多层,比如主要间隔物层、衬垫层、与类似物。
方法200的步骤204进行源极/漏极蚀刻工艺。如图3所示的一实施例,步骤204对p型装置300进行源极/漏极蚀刻工艺。在一些实施例中,进行源极/漏极蚀刻工艺可移除p型装置300的源极/漏极区中露出的外延层308及310,以形成沟槽330而露出下方的基板304的部分。源极/漏极蚀刻工艺亦可露出外延层308及310的横向表面,如图3所示。在一些实施例中,源极/漏极蚀刻工艺亦可移除一或多个间隔物层328的部分(比如自栅极堆叠316的上表面进行蚀刻)。在一些实施例中,源极/漏极蚀刻工艺可包括干蚀刻工艺、湿蚀刻工艺及/或上述的组合。
方法200的步骤206接着进行使虚置层凹陷的工艺。如图3及4所示的一实施例,步骤206对p型装置300进行使虚置层凹陷的工艺。使虚置层凹陷的工艺包括横向蚀刻p型装置300的外延层308(其可包括硅锗),以沿着沟槽330的侧壁形成凹陷402。在一些实施例中,使虚置层凹陷的工艺可采用干蚀刻工艺、湿蚀刻工艺及/或上述的组合。在一些例子中,使虚置层凹陷的工艺可包括蚀刻,其采用标准清洁剂1(SC-1)溶液、臭氧、含氢氧化铵与过氧化氢与水的溶液、氢氟酸、缓冲氢氟酸及/或氟气为主的蚀刻。在一些例子中,氟气为主的蚀刻可包括氟气远端等离子体蚀刻。使虚置层凹陷的工艺可使凹陷的外延层308(虚置层)其露出的横向表面,沿着外延层308的两侧横向表面定义凹入、凸出、或实质上垂直的轮廓。在后续工艺阶段中,将移除外延层308(虚置层)并置换成栅极结构(如金属栅极结构)的一部分,使置换栅极结构定义凹入、凸出、或实质上垂直的轮廓,如下所述。在多种例子中,置换栅极结构可与内侧间隔物交界,如下详述。
在一些例子中,步骤206使虚置层凹陷的工艺可部分蚀刻装置300的轻掺杂源极/漏极区中的外延层310的末端(比如通道区两端上的一或多个间隔物层之下),使轻掺杂源极/漏极区中的外延层310比通道区(如直接位于栅极堆叠316之下)中的外延层310稍微薄一点,如图5B所示,图5B为图5A所示的半导体装置A部分的放大图。举例来说,使虚置层凹陷的工艺可自轻掺杂源极/漏极区中的外延层310的上表面与下表面消耗外延层310(比如消耗约0.5nm至1nm),使自外延层310的上表面与下表面消耗外延层310的总消耗量为约1nm至2nm。在一些实施例中,可确定使虚置层凹陷的工艺不会蚀刻轻掺杂源极/漏极区中的外延层310的末端。
方法200的步骤208接着沉积内侧间隔物材料。如图4、图5A及图5B所示的一实施例,步骤208沉积内侧间隔物材料502于装置300上与沟槽330中。在步骤206使虚置层凹陷时可沿着沟槽330的侧壁形成凹陷402,而内侧间隔物材料502亦可沉积于凹陷402中。在一些例子中,内侧间隔物材料502的厚度Tdep可为约4nm至15nm。在一些实施例中,内侧间隔物材料502可包括非晶硅。在一些例子中,内侧间隔物材料502可包括介电材料如氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、碳氧化硅、碳氮氧化硅、低介电常数(如介电常数小于7)的材料及/或上述的组合。举例来说,内侧间隔物材料502的形成方法可为顺应性沉积内侧间隔物材料502于装置300上,其可采用工艺如化学气相沉积工艺、次压化学气相沉积工艺、可流动的化学气相沉积工艺、原子层沉积工艺、物理气相沉积工艺、或其他合适工艺。如图5B所示,顺应性沉积内侧间隔物材料502于凹陷402中,造成内侧间隔物材料可定义凹陷或碟化区504。在一些实施例中,凹陷或碟化区504具有深度D1,其可自相邻外延层310的横向表面上的内侧间隔物材料502的露出表面所定义的平面测量至凹陷或碟化区504的顶点。图5B亦显示一些实施例中的轮廓506,其显示一般后续内侧间隔物回蚀刻工艺时的内侧间隔物材料502的厚度减少的进程。
方法200的步骤210接着进行内侧间隔物回蚀刻工艺(修整工艺)。如图5A、图5B、图6A及图6B所示的一实施例,图6B为图6A所示的半导体装置的B部分的放大图,步骤210可对p型装置300进行内侧间隔物回蚀刻工艺(修整工艺)。在多种例子中,内侧间隔物回蚀刻工艺可蚀刻(修整)装置300上以及沿着沟槽330的侧壁的内侧间隔物材料502,而至少部分地保留凹陷402中的内侧间隔物材料502,以提供装置300所用的内侧间隔物。换言之,步骤210的内侧间隔物回蚀刻工艺可至少部分地蚀刻(修整)凹陷402中的内侧间隔物材料502,以沿着内侧间隔物的横向表面形成凹陷或碟化区604(比如面向沟槽330)。在一些例子中,具有沿着内侧间隔物的横向表面的凹陷或碟化区604的内侧间隔物,可视作碟化的内侧间隔物或凹陷的内侧间隔物。在多种实施例中,碟化区604可增加之后形成的源极/漏极结构的可行体积,进而增加半导体通道层(外延层310)中诱发的应力而增进装置300的效能。在一些实施例中,凹陷或碟化区604可具有深度D2,其可自相邻外延层310的露出的横向表面所定义的平面测量至凹陷或碟化区604的顶点。举例来说,碟化区604的深度D2可大于约1nm。此外在一些例子中,相邻的外延层310的水平表面与内侧间隔物材料502其露出的回蚀刻表面(如碟化区604的露出表面)之间的角度θ,可大于约30度。在一些实施例中,回蚀刻工艺(步骤210)所形成的碟化区604,可大于顺应性沉积内侧间隔物材料502所形成的碟化区504(步骤208)。因此在一些例子中,碟化区604的深度D2可大于碟化区504的深度D1。举例来说,内侧间隔物回蚀刻工艺可采用湿蚀刻工艺、干蚀刻工艺、或上述的组合。在一些实施例中,内侧间隔物回蚀刻(修整)工艺可包括高温硫酸与过氧化氢的混合物与稀释氢氟酸的循环、臭氧与稀释氢氟酸的循环、或上述的组合。在一些例子中,在后续外延成长源极/漏极结构之前进行的清洁工艺,可移除步骤210的内侧间隔物回蚀刻工艺之后保留于装置300的上表面及/或沟槽330的侧壁或下表面上的内侧间隔物材料502的任何残留部分。在多种例子中,内侧间隔物材料502(其保留于凹陷402中)可至少部分地位于一或多个间隔物层328(其形成于栅极堆叠316的侧壁上)之下,并邻接后续形成的源极/漏极结构,如下所述。
为了提供步骤210的内侧间隔物回蚀刻工艺(修整工艺)之后的装置300的多种结构的细节,图7显示图6A所示的装置300的部分700的放大图。图示的部分700包括多个外延层310(半导体通道层)、凹陷的外延层(虚置层)、具有碟化区604的内侧间隔物材料502、与沟槽330的一部分。如上所述,沟槽330形成其中的区域包括装置300的源极/漏极区,之后将形成源极/漏极结构于其中。图7亦显示源极/漏极区的两侧上的碟化区604的上侧部分、中间部分、与下侧部分之间的空间。如图所示,两侧的碟化区604的中间部分(顶点)之间的距离D10,可大于两侧的碟化区604的上侧部分之间的距离D20,且可大于两侧的碟化区604的下侧部分之间的距离D30。在至少一些实施例中,距离D20可实质上等于距离D30。然而一些例子的距离D20可不同于距离D30。在一些例子中,距离D10可介于约24nm至38nm之间,距离D20可介于约20nm至30nm之间,而距离D30可介于约20nm至30nm之间。因此相对的碟化区604可增加后续形成的源极/漏极结构的可用体积,如下所述。
方法200的步骤212接着形成源极/漏极结构。如图6A、图7、图8及图9所示的一实施例,步骤212形成源极/漏极结构802于p型装置300中。因此源极/漏极结构802可包括p型源极/漏极结构。在一些实施例中,源极/漏极结构802形成于装置300的栅极堆叠316的两侧上的源极/漏极区中,且源极/漏极区与栅极堆叠316相邻。举例来说,源极/漏极结构802可形成于装置300的沟槽330中,包括形成于碟化区604之中、基板304的露出部分之上、并接触装置300的相邻的内侧间隔物材料502与半导体通道层(外延层310)。在一些实施例中,在形成源极/漏极结构802之前可立刻进行清洁工艺。清洁工艺可包括湿蚀刻、干蚀刻、或上述的组合。此外,清洁工艺可移除保留于装置300的上表面及/或沟槽330的侧壁或下表面之上的内侧间隔物材料502的任何残留部分(比如在步骤210的内侧间隔物回蚀刻工艺之后)。
在一些实施例中,成长半导体材料层于源极/漏极区中以形成源极/漏极结构802。在多种实施例中,成长形成源极/漏极结构802的半导体材料层可包括锗、硅、砷化镓、砷化铝镓、硅锗、磷砷化镓、磷化硅、或其他合适材料。源极/漏极结构802的形成方法可为一或多道外延工艺。在一些实施例中,外延工艺时可原位掺杂源极/漏极结构802。举例来说,举例来说,一些实施例中的外延成长的硅锗源极/漏极结构可掺杂硼。在一些例子中,外延成长的硅源极/漏极结构可掺杂碳以形成掺杂碳的硅源极/漏极结构、可掺杂磷已形成掺杂磷的硅源极/漏极结构、或掺杂碳与磷以形成掺杂碳与磷的硅源极/漏极结构。在一些实施例中,不原位掺杂源极/漏极结构802,而改为进行注入工艺以掺杂源极/漏极结构802。
为了提供步骤212形成源极/漏极结构之后的装置300的多种结构的细节,图9显示图8所示的装置300的部分900的放大图。在一些实施例中,部分900可显示装置300的区域,其可与上述部分700所示的装置300的区域实质上相同,虽然两者在方法200的不同工艺阶段。因此图示的部分900可包括多个外延层310(半导体通道层)、凹陷的外延层308(虚置层)、与具有碟化区604的内侧间隔物材料502。部分900进一步显示源极/漏极结构802形成于源极/漏极区中(比如沟槽330中),包括形成于碟化区604中并接触内侧间隔物材料502与半导体通道层(如外延层310)。在至少一些实施例中,源极/漏极结构802包括硅锗源极/漏极结构,其可用于诱发应力于装置300的半导体通道层(比如硅半导体通道层)中。如上所述,由于内侧间隔物材料502的碟化区604,源极/漏极结构802包括的部分802A可延伸至碟化区604之中与相邻的外延层310的横向末端之间,进而有效增加源极/漏极结构802的体积(与至少一些现有的实施方式相较)。在一些实施例中,源极/漏极结构802可包括应力于相邻的半导体通道层(如外延层310)中。具体而言,由于源极/漏极结构802的体积增加,可增加源极/漏极结构802所诱发的应力(与至少一些现有的实施方式相较),进而增进装置效能。亦需注意在至少一些实施例中,源极/漏极结构802本身可具有应力(比如基板部分上的源极/漏极结构802具有不同材料组成并具有不同晶格常数),其可诱发应力于半导体通道层中并增进装置效能。
虽然图6B、图7及图9所示的内侧间隔物材料502的碟化区604与图9所示的源极/漏极结构802的对应部分802A具有大致三角形,但其他形状亦属可能且属于本实用新型实施例的范畴。图10为图6A所示的装置300的部分700的另一实施例的放大图。具体而言,在图10所示的例子中,碟化区604具有大致凹入的形状。图11为图8所示的装置300的部分900的另一实施例的放大图,碟化区604的大致凹入形状,可造成后续形成的源极/漏极结构802包括的部分802A可具有对应的凹入形状,其延伸至凹入的碟化区604中,进而有效增加源极/漏极结构802的体积。应理解上述例子仅为例示性而非局限本实用新型实施例,且在不偏离本实用新型实施例的范畴下的碟化区604的其他形状及/或轮廓亦属可能。举例来说,一些其他实施例的碟化区604可具有大致方形、大致梯形、或其他合适形状。
方法200的步骤214接着对装置300进行后续工艺。举例来说,在形成源极/漏极结构802(步骤212)之后,可形成接点蚀刻停止层与层间介电层于装置300上,并进行化学机械研磨工艺。在一些实施例中,化学机械研磨工艺可露出栅极堆叠316的上表面(比如移除栅极堆叠316上的层间介电层与接点蚀刻停止层的部分),并平坦化装置300的上表面。此外,化学机械研磨工艺可移除栅极堆叠316上的硬掩模层324及326,以露出下方的虚置栅极的电极层322如多晶硅电极层。
在其他实施例的步骤214中,可先由合适的蚀刻工艺移除栅极堆叠316的露出的电极层322,接着自栅极堆叠316蚀刻移除介电层320与视情况形成的牺牲层319(若存在)。在一些例子中,蚀刻工艺可包括湿蚀刻、干蚀刻、或上述的组合。
在移除虚置栅极之后,其他实施例的步骤214可采用选择性蚀刻工艺以选择性移除装置300的通道区中的外延层308(虚置层),而半导体通道层(外延层310)维持未蚀刻。在一些例子中,选择性移除虚置层的步骤可视作通道层释放工艺(比如自虚置层释放半导体通道层)。选择性移除虚置层可形成间隙于相邻的外延层310之间,而内侧间隔物材料502位于间隙的横向两端上。
在选择性移除虚置层之后,其他实施例的步骤214可形成栅极结构。栅极结构可包括高介电常数的介电层与金属栅极堆叠,然而其他组成亦属可能。在一些实施例中,栅极结构形成的栅极,可与装置300的通道区中露出的多个半导体通道层(如露出的外延层310)所提供的多通道相关。在一些实施例中,栅极结构包括界面层(如氧化硅、氧化铪硅、或氮氧化硅)位于外延层310的露出表面上,而高介电常数的介电层形成于界面层上。在一些实施例中,高介电常数的介电层可包括氧化铪。高介电常数的介电层可改为包含三氧化二钛、氧化铪锆、氧化钽、硅酸铪、氧化锆、硅酸锆、氧化镧、氧化铝、氧化锆、氧化钛、五氧化二钽、氧化钇、钛酸锶、钛酸钡、氧化钡锆、氧化铪锆、氧化铪镧、氧化铪硅、氧化镧硅、氧化铝硅、氧化铪钽、氧化铪钛、钛酸钡锶、三氧化二铝、氮化硅、氮氧化硅、上述的组合、或其他合适材料。在一些例子中,高介电常数的介电层亦可形成于间隙两侧的横向末端上的内侧间隔物材料502的露出表面上。在多种实施例中,界面层与高介电常数的介电层可一起定义装置300所用的栅极结构的栅极介电层。
在其他实施例中,步骤214可形成含有金属层的金属栅极于栅极介电层上(比如形成于界面层与高介电常数的介电层上)。金属层可包括金属、金属和金、或金属硅化物。在多种例子中,金属层可包括钛、银、铝、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、氮化钽、钌、钼、铝、氮化钨、铜、钨、铼、铱、钴、镍、其他合适金属材料、或上述的组合。此外,形成栅极介电层与金属栅极堆叠的步骤可包括沉积形成多种栅极材料、一或多个衬垫层、以及进行化学机械研磨工艺已移除多余的栅极材料而平坦化装置300的上表面。在多种实施例中,形成的栅极结构所包括的部分可插置于外延层310之间,而外延层310可各自提供装置300所用的半导体通道层。
一般而言,可对半导体装置300进行后续工艺以形成本技术领域已知的多种结构与区域。举例来说,后续工艺可形成多种接点、通孔及/或线路与多层内连线结构(金属层与层间介电层)于基板304上,其设置以连接多种结构以形成含有一或多个多栅极装置(如一或多个全绕式栅极晶体管)的功能电路。在其他例子中,多层内连线可包括垂直内连线如通孔或接点,以及水平内连线如金属线路。多种内连线结构可采用多种导电材料如铜、钨及/或硅化物。在一例中,可采用镶嵌及/或双镶嵌工艺以形成铜相关的多层内连线结构。此外,可在方法200之前、之中、与之后实施额外工艺步骤,且方法200的多种实施例可调整、置换、或省略一些上述工艺步骤。亦应注意虽然方法200以p型装置300说明,但应理解方法200同样可应用于制作n型装置,其中n型装置可类似地包含碟化内侧间隔物轮廓,以增加外延源极/漏极结构所用的体积。
依据此处提供的说明,公开增加源极/漏极结构的体积所用的方法与结构,以增加多栅极装置(如全绕式栅极晶体管)的半导体通道层中诱发的应力,进而增进装置效能。与至少一些现有的实施方式相较,一些实施例在沿着沟槽侧壁沉积内侧间隔物材料并沉积内侧间隔物材料于凹陷中的步骤之后,可进行内侧间隔物回蚀刻(修整)工艺,其可自沟槽的侧壁表面移除内侧间隔物材料,亦可自凹陷中移除内侧间隔物材料的部分,以沿着内侧间隔物的横向表面形成碟化区(比如面对沟槽),进而增加后续形成于沟槽中的源极/漏极结构的体积。后续形成的源极/漏极结构可形成于沟槽中,并形成于沿着内侧间隔物的横向表面的碟化区中,可有效增加源极/漏极结构所用的体积。这将增进半导体通道层中的应力并改善装置效能。在一些实施例中,内侧间隔物回蚀刻(修整)工艺可采用湿蚀刻、干蚀刻、或上述的组合。在一些例子中,内侧间隔物回蚀刻(修整)工艺可包括高温硫酸与过氧化氢的混合物与稀释氢氟酸的循环、臭氧与稀释氢氟酸的循环、或上述的组合。本技术领域中技术人员应理解在不偏离本实用新型实施例的范畴下,此处所述的方法与结构可用于多种其他半导体装置,以利其他装置达到类似优点。
因此本实用新型一实施例说明半导体装置的制作方法,包括提供鳍状物,其包括多个外延层的堆叠,且外延层包括交错的多个半导体通道层与多个虚置层。在一些实施例中,方法还包括进行源极/漏极蚀刻工艺以移除多个源极/漏极区中的外延层的堆叠的多个部分,以形成沟槽而露出半导体通道层与虚置层的横向表面。在一些例子中,方法还包括进行使虚置层凹陷的工艺,以横向蚀刻虚置层而沿着沟槽的侧壁形成多个凹陷。在多种实施例中,方法还包括沿着沟槽的侧壁沉积内侧间隔物材料,并沉积内侧间隔物材料于凹陷中。在一些实施例中,方法还包括进行内侧间隔物回蚀刻工艺,自沟槽的侧壁移除该内侧间隔物材料,并自凹陷中移除内侧间隔物材料的一部分,以形成多个内侧间隔物,且内侧间隔物具有沿着内侧间隔物的横向表面的第一碟化区。
在一些实施例中,第一碟化区具有第一深度,其自相邻的半导体通道层的露出的横向表面所定义的第一平面测量至第一碟化区的第一顶点。
在一些实施例中,第一深度大于约1nm。
在一些实施例中,相邻的半导体通道层的水平表面与第一碟化区的露出表面之间定义角度θ。
在一些实施例中,角度θ大于约30度。
在一些实施例中,沿着沟槽的侧壁沉积该内侧间隔物材料,并沉积内侧间隔物材料于凹陷中的步骤定义第二碟化区,且第二碟化区的具有第二深度,其自相邻的半导体通道层的横向表面上的内侧间隔物材料的露出表面所定义的第二平面测量至第二碟化区的第二顶点。
在一些实施例中,第一深度大于第二深度。
在一些实施例中,方法还包括在进行内侧间隔物回蚀刻工艺之后,形成多个外延源极/漏极结构于源极/漏极区中,其中外延源极/漏极结构的部分延伸至第一碟化区中并接触第一碟化区。
在一些实施例中,延伸至第一碟化区中并接触第一碟化区的外延源极/漏极结构的部分亦插置于相邻的半导体通道层的末端之间。
在一些实施例中,第一碟化区具有三角形或凹入形状。
在一些实施例中,内侧间隔物回蚀刻工艺包括高温硫酸与过氧化氢混合物与稀释氢氟酸的循环、臭氧与稀释氢氟酸的循环、或上述的组合。
在另一实施例中,半导体装置的制作方法包括形成第一鳍状物,其包括第一外延层堆叠,并形成第二鳍状物,其包括第二外延层堆叠。在一些实施例中,第一外延层堆叠与第二外延层堆叠各自包括交错的多个半导体通道层与多个虚置层。在一些例子中,第一鳍状物与第二鳍状物隔有沟槽,其露出沿着沟槽的第一侧壁的第一外延层堆叠的第一横向表面,并露出沿着沟槽的第二侧壁的第二外延层堆叠的第二横向表面,且沟槽的第一侧壁与第二侧壁相对。在一些实施例中,方法还包括横向蚀刻第一外延层堆叠与第二外延层堆叠中各自的虚置层,以形成沿着沟槽的第一侧壁的第一凹陷,并形成沿着沟槽的第二侧壁的第二凹陷。在一些例子中,第二凹陷与第一凹陷齐平。在多种实施例中,方法还包括沿着沟槽的第一侧壁与第二侧壁顺应性沉积内侧间隔物材料,并顺应性沉积内侧间隔物材料于第一凹陷与第二凹陷中。在一些实施例中,方法还包括进行内侧间隔物修整工艺,自沟槽的第一侧壁与第二侧壁以及第一凹陷与第二凹陷的至少部分移除内侧间隔物材料,以形成第一碟化内侧间隔物于第一凹陷中,并形成第二碟化内侧间隔物于第二凹陷中。
在一些实施例中,第一碟化内侧间隔物与该第二碟化内侧间隔物各自包括上侧部分、中间部分、与下侧部分,且第一碟化内侧间隔物与第二碟化内侧间隔物的中间部分之间的第一距离,大于第一碟化内侧间隔物与第二碟化内侧间隔物的上侧部分之间或下侧部分之间的第二距离。
在一些实施例中,第一碟化内侧间隔物与第二碟化内侧间隔物各自具有三角形或凹入形状。
在一些实施例中,方法还包括在进行内侧间隔物修整工艺之后,形成源极/漏极结构于沟槽之中与第一凹陷与第二凹陷各自的至少部分之中,其中源极/漏极结构接触第一碟化内侧间隔物与第二碟化内侧间隔物的每一者。
在一些实施例中,形成于第一凹陷与第二凹陷各自的至少部分之中的源极/漏极结构的部分,插置于个别的第一鳍状物与第二鳍状物中的相邻的半导体通道层的末端之间。
在一些实施例中,内侧间隔物修整工艺包括高温硫酸与过氧化氢混合物及稀释氢氟酸的循环、臭氧与稀释氢氟酸的循环、或上述的组合。
在又一实施例中,半导体装置包括鳍状物自基板延伸。在多种例子中,鳍状物包括多个半导体通道层。在一些实施例中,半导体装置还包括多个内侧间隔物,位于相邻的半导体通道层之间以及通道区的侧部上。在多种例子中,内侧间隔物包括碟化区面对源极/漏极区。在一些实施例中,半导体装置还包括源极/漏极结构,位于源极/漏极区中并接触内侧间隔物的碟形区与半导体通道层的末端部分。
在一些实施例中,接触内侧间隔物的碟化区的源极/漏极结构的部分亦插置于相邻的半导体通道层的末端之间。
在一些实施例中,半导体通道层各自包括硅,且源极/漏极结构包括硅锗。
在一些实施例中,碟化区具有第一深度,其自相邻的半导体通道层的露出的横向表面所定义的第一平面测量至碟化区的第一顶点。
在一些实施例中,第一深度大于约1nm。
在一些实施例中,相邻的半导体通道层的水平表面与碟化区的露出表面之间定义角度θ。
在一些实施例中,角度θ大于约30度。
在一些实施例中,碟化区具有三角形或凹入形状。
在一些实施例中,半导体装置还包括栅极结构包覆半导体通道层并与源极/漏极结构相邻。
在一些实施例中,栅极结构包括高介电常数的介电层与金属栅极。
上述实施例的特征有利于本技术领域中技术人员理解本实用新型。本技术领域中技术人员应理解可采用本实用新型作基础,设计并变化其他工艺与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中技术人员亦应理解,这些等效置换并未脱离本实用新型精神与范畴,并可在未脱离本实用新型的精神与范畴的前提下进行改变、替换、或更动。

Claims (10)

1.一种半导体装置,其特征在于,包括:
一鳍状物,自一基板延伸且包括多个半导体通道层;
多个内侧间隔物,位于相邻的多个所述半导体通道层之间以及一通道区的侧部上,其中多个所述内侧间隔物包括一碟化区面对一源极/漏极区;以及
一源极/漏极结构,位于该源极/漏极区中并接触多个所述内侧间隔物的该碟化区与多个所述半导体通道层的末端部分。
2.如权利要求1所述的半导体装置,其特征在于,接触多个所述内侧间隔物的该碟化区的该源极/漏极结构的部分亦插置于相邻的多个所述半导体通道层的末端之间。
3.如权利要求1或2所述的半导体装置,其特征在于,多个所述半导体通道层各自包括硅,且该源极/漏极结构包括硅锗。
4.如权利要求1或2所述的半导体装置,其特征在于,该碟化区具有一第一深度,其自一相邻的半导体通道层的露出的横向表面所定义的一第一平面测量至该碟化区的第一顶点。
5.如权利要求4所述的半导体装置,其特征在于,该第一深度大于1nm。
6.如权利要求1或2所述的半导体装置,其特征在于,一相邻的半导体通道层的水平表面与该碟化区的露出表面之间定义一角度θ。
7.如权利要求6所述的半导体装置,其特征在于,该角度θ大于30度。
8.如权利要求1或2所述的半导体装置,其特征在于,该碟化区具有三角形或凹入形状。
9.如权利要求1或2所述的半导体装置,其特征在于,还包括一栅极结构包覆多个所述半导体通道层并与该源极/漏极结构相邻。
10.如权利要求9所述的半导体装置,其特征在于,该栅极结构包括一高介电常数的介电层与一金属栅极。
CN202221791602.XU 2021-07-16 2022-07-12 半导体装置 Active CN218004864U (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163222890P 2021-07-16 2021-07-16
US63/222,890 2021-07-16
US17/662,038 US20230017036A1 (en) 2021-07-16 2022-05-04 Inner spacer for a multi-gate device and related methods
US17/662,038 2022-05-04

Publications (1)

Publication Number Publication Date
CN218004864U true CN218004864U (zh) 2022-12-09

Family

ID=84314249

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202221791602.XU Active CN218004864U (zh) 2021-07-16 2022-07-12 半导体装置

Country Status (3)

Country Link
US (1) US20230017036A1 (zh)
CN (1) CN218004864U (zh)
TW (1) TWI824600B (zh)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102400558B1 (ko) * 2017-04-05 2022-05-20 삼성전자주식회사 반도체 소자
US10818777B2 (en) * 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Also Published As

Publication number Publication date
US20230017036A1 (en) 2023-01-19
TWI824600B (zh) 2023-12-01
TW202305884A (zh) 2023-02-01

Similar Documents

Publication Publication Date Title
US11282751B2 (en) Dielectric fins with different dielectric constants and sizes in different regions of a semiconductor device
TW202044417A (zh) 半導體裝置及其形成方法
CN110957362B (zh) FinFET器件及其形成方法
TW201434093A (zh) 積體電路及製造具有金屬閘極電極之積體電路之方法
TWI721325B (zh) 半導體裝置及其製造方法
CN111243959B (zh) 半导体器件及其制造方法
TW202002004A (zh) 半導體結構的製造方法
US11996481B2 (en) Liner for a bi-layer gate helmet and the fabrication thereof
US20230099320A1 (en) Method And Device For Forming Metal Gate Electrodes For Transistors
CN113764343A (zh) 半导体装置的制造方法
CN114975269A (zh) 半导体装置
KR102549861B1 (ko) 반도체 디바이스의 콘택 플러그 구조물 및 그 형성 방법
CN113539964A (zh) 制造半导体装置的方法
TWI818315B (zh) 半導體裝置及其形成方法
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
CN218004864U (zh) 半导体装置
CN114792656A (zh) 半导体结构的形成方法
CN110783267B (zh) 切割鳍隔离区域及其形成方法
CN220233201U (zh) 半导体装置
CN221102088U (zh) 半导体装置
TWI772114B (zh) 積體電路及其形成方法
CN219800858U (zh) 半导体装置
US20230411479A1 (en) Semiconductor device and manufacturing method thereof
US20230335645A1 (en) Device scaling by isolation enhancement
CN117096156A (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
GR01 Patent grant
GR01 Patent grant