CN204809192U - 用于移除排放气体的设备 - Google Patents

用于移除排放气体的设备 Download PDF

Info

Publication number
CN204809192U
CN204809192U CN201390000751.2U CN201390000751U CN204809192U CN 204809192 U CN204809192 U CN 204809192U CN 201390000751 U CN201390000751 U CN 201390000751U CN 204809192 U CN204809192 U CN 204809192U
Authority
CN
China
Prior art keywords
gas
substrate
base
carrier
equipment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN201390000751.2U
Other languages
English (en)
Inventor
戴维·K·卡尔森
迈克尔·R·赖斯
卡尔蒂克·B·沙阿
卡什夫·马克苏德
普拉文·K·纳万克尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of CN204809192U publication Critical patent/CN204809192U/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05FDEVICES FOR MOVING WINGS INTO OPEN OR CLOSED POSITION; CHECKS FOR WINGS; WING FITTINGS NOT OTHERWISE PROVIDED FOR, CONCERNED WITH THE FUNCTIONING OF THE WING
    • E05F1/00Closers or openers for wings, not otherwise provided for in this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers

Abstract

本文提供一种用于移除排放气体的设备。在一些实施方式中,设备可包括:用于在基板处理工具中支撑一个或更多个基板的载体,所述载体具有第一排气出口和排气组件,所述排气组件包括:第一入口,所述第一入口设置于载体附近以从载体的第一排气出口接收工艺排气;第二入口,所述第二入口用来接收清洁气体;和出口,所述出口用来移除工艺排气和清洁气体。

Description

用于移除排放气体的设备
技术领域
本实用新型的实施方式大体涉及半导体处理装备,且更具体地说,涉及供太阳能电池制造的装备和技术中使用的基板载体和排气装置,诸如高效率单晶外延膜沉积装备。
背景技术
非晶和多晶太阳能电池在将光转换为能量的效率方面受到限制。单晶高迁移率材料能够具有高得多的效率,但通常昂贵得多。传统装备专为半导体应用设计,其中具有苛刻的要求,并涉及非常高的成本。然而,这些系统都具有高成本且无法实现高产量自动化。
为了以高产量实现光伏应用方面非常低成本的外延沉积,本创造者认为,需要从根本上改变,而不是简单地使每个事物更大。例如,本创造者已经观察到,由于材料、消耗品的高成本和自动化挑战,分批处理反应器在产量上受限。还需要氢、氮、水和前驱物的非常高的流率(flowrate)。此外,当生长厚膜时产生大量的有害副产物。
针对外延工艺已经尝试了很多次连续反应器,但这些连续反应器从来没有生产价值,也没有实现良好的前驱物使用。主要问题是劣质的膜质量和过度保养。
在另一方面,单晶片反应器对前驱物和功率(电)具有非常低效的利用率和具有较低的每晶片产量。再加上单晶片反应器需要复杂的基板升降/旋转机构。因此,尽管单晶片反应器可以具有非常高的质量、低金属污染水平以及良好的厚度均匀性和电阻率,但是获得这些结果的每晶片成本非常高。
因此,本创造者提供了一种基板处理工具的实施方式,所述基板处理工具可以提供高前驱物利用率、简单的自动化、低成本以及具有高产量和工艺质量的相对简单的反应器设计中的一些或全部。
实用新型内容
本文提供一种用于移除排放气体的设备。在一些实施方式中,设备可包括:用于在基板处理工具中支撑一个或更多个基板的载体,所述载体具有第一排气出口;和排气组件,所述排气组件包括:第一入口,所述第一入口设置于载体附近以从载体的第一排气出口接收工艺排气;第二入口,所述第二入口用来接收清洁气体;和出口,所述出口用来移除工艺排气和清洁气体。
在下文中描述本实用新型的其他和进一步的实施方式。
附图说明
可通过参照在附图中所描绘的本实用新型的说明性实施方式来理解上文已简要概述且在下文将更详细论述的本实用新型的实施方式。然而,应注意,附图仅图示出本实用新型的典型实施方式,且因此这些附图不应被视为对本实用新型范围的限制,因为本实用新型可允许其他同等有效的实施方式。
图1描绘根据本实用新型一些实施方式的具索引的串联(inline)基板处理工具。
图2是根据本实用新型一些实施方式的基板处理工具的模块的截面图。
图3是根据本实用新型一些实施方式的基板处理工具的模块。
图4是根据本实用新型一些实施方式的气体入口的示意性俯视图。
图5是根据本实用新型一些实施方式的供基板处理工具中使用的基板载体。
图6A是根据本实用新型一些实施方式的供基板处理工具中使用的基板载体和排气系统的示意性端视图。
图6B是根据本实用新型一些实施方式的基板载体的传送底座的示意性俯视图。
图6C和图6D是根据本实用新型一些实施方式的基板载体的传送底座和底板的等距视图。
图7A是根据本实用新型一些实施方式的供基板处理工具中使用的基板载体和排气系统的示意性端视图。
图7B是根据本实用新型一些实施方式的传送底座的等距视图。
图7C是根据本实用新型一些实施方式的盖板的等距视图。
图7D是根据本实用新型一些实施方式的挡板的等距仰视图。
图7E是根据本实用新型一些实施方式的偏转板(deflectorplate)的等距视图。
图7F是根据本实用新型一些实施方式的排气底座的等距视图。
图7G是根据本实用新型一些实施方式的底板的等距视图。
图7H是根据本实用新型一些实施方式的排气底座的等距截面端视图。
为了便于理解,在可能的情况下,相同的参考数字已用于指代各图共有的相同元件。附图并未按比例绘制,并且可为了清晰而简化。预期一个实施方式的元件和特征结构可有利地并入其他实施方式而无需进一步详述。
具体实施方式
本文提供一种用于外延硅沉积的高容量低成本系统的实施方式。尽管并不限制范围,但是本创造者相信,该具创新性的基板处理系统可对太阳能电池制造应用特别有利。
与用于执行多步骤基板工艺的传统基板处理工具相比,该具创新性的系统可有利地提供成本有效且简单的可制造性和具有能源及成本效率的使用。
例如,基本设计部件是基于平板,以通过使用可易于取得的标准形式的材料简化制造和控制成本以使得成本下降。可使用高可靠性线性灯。可针对具体应用优化具体的灯。所述灯可以是通常用于外延沉积反应器中的类型。还可针对每个具体应用优化系统内的流场以使浪费最小化。所述设计最小化净化气体需求和最大化前驱物利用率。可将清洁气体添加至排气系统以便于从排气沟道移除沉积材料。还可将装载与卸载自动化分离以便于串联处理。可脱机(offline)处理复杂的自动化。在载体(基座)上预先装载基板以便最大化系统灵活性,从而便于整合至其他步骤。所述系统提供了系统配置的灵活性。例如,可合并多个沉积腔室(或沉积站)用于多层结构或较高产量。
可使用独立基板处理工具、群集基板处理工具或具索引的串联基板处理工具来执行用于外延硅沉积的高容量低成本系统的实施方式。图1是根据本实用新型一些实施方式的具索引的串联基板处理工具100。具索引的串联基板处理工具100通常可被配置成针对所需半导体应用在基板上执行任何工艺。例如,在一些实施方式中,具索引的串联基板处理工具100可被配置成执行一个或更多个沉积工艺,例如诸如外延沉积工艺。
具索引的串联基板处理工具100一般包括成线性排列耦接在一起的多个模块112(图示第一模块102A、第二模块102B、第三模块102C、第四模块102D、第五模块102E、第六模块102F和第七模块102G)。基板可移动穿过具索引的串联基板处理工具100,如箭头122所示。在一些实施方式中,可将一个或更多个基板设置在基板载体上,例如,诸如下文针对图5至图7H描述的基板载体502、602和702,以便于一个或更多个基板移动穿过具索引的串联基板处理工具100。
可单独配置多个模块112中的每一个以执行所需工艺的一部分。通过使用模块中的每一个执行所需工艺的仅一部分,可具体配置和/或优化多个模块112中的每个模块,以便相对于工艺的那个部分用最有效率的方式操作,从而使得具索引的串联基板处理工具100与用于执行多步骤工艺的传统使用的工具相比更有效率。
另外,通过在每个模块中执行所需工艺的一部分,可由仅为模块被配置以完成工艺的这部分所需的工艺资源量确定提供至每个模块的工艺资源(例如,电功率、工艺气体或类似资源),从而进一步使得该具创新性的具索引的串联基板处理工具100与用于执行多步骤工艺的传统使用的工具相比更有效率。
此外,单独模块有利地允许在一个或更多个基板上沉积不同掺杂剂层:例如,10微米p++型掺杂剂;10微米p+型掺杂剂;10微米n型掺杂剂。同时,传统的单个腔室禁止沉积不同的掺杂剂,因为这些掺杂剂会彼此干扰。另外,由于在模块之间使用净化气体(如下文所讨论的),在单独腔室中建立外延层的串联线性沉积有助于防止外延硅(Si)自载体之上的基板过度生长或桥接,从而在从一个模块至下一模块的移送阶段期间提供蚀刻作用。
在具索引的串联基板处理工具100的示例性配置中,在一些实施方式中,第一模块102A可被配置成提供净化气体以例如从基板和/或基板载体移除杂质和/或将基板引入到适宜气氛中以便沉积。第二模块102B可被配置成预热或执行温度渐变(temperatureramp),以将基板温度提高至适合于执行沉积的温度。第三模块102C可被配置成执行烘烤,以在沉积材料之前从基板上移除挥发性杂质。第四模块102D可被配置成在基板上沉积所需材料。第五模块102E可被配置成执行沉积后工艺,例如诸如退火工艺。第六模块102F可被配置成冷却基板。第七模块102G可被配置成提供净化气体,以例如在从具索引的串联基板处理工具100移除基板和/或基板载体前,从基板和/或基板载体移除工艺残留物。在不需要某些工艺的实施方式中,可省略配置用于那个工艺部分的模块。例如,如果在沉积后不需要退火,那么可省略配置用于退火的模块(例如,上文示例性实施方式中的第五模块102E)或用配置用于不同的所需工艺的模块替代配置用于退火的模块。
基板处理工具100的一些实施方式包括串联“推动机构”(现图示)或能够连续地(serially)移送邻接的基板载体穿过模块102A-102G的其他机构。例如,具索引的传送可使用气动柱塞式(plunger-type)推动机构以驱动载体模块向前穿过串联反应器。
可例如通过阻挡层118将多个模块中的一些或全部与相邻模块隔离或屏蔽,以便于相对于具索引的串联基板处理工具100中的其他模块维持隔离的处理容积。例如,在一些实施方式中,阻挡层118可以是气幕(gascurtain),诸如空气或惰性气体的气幕,在相邻模块之间提供所述气幕以使模块彼此隔离或实质上隔离。在一些实施方式中,可沿每个模块或所需模块(诸如沉积或掺杂模块)的全部四个垂直壁提供气幕,以限制模块或载体的不当位置中不希望有的交叉污染或沉积。这种隔离还防止污染物(诸如碳或湿气)到达反应区/基板。
在一些实施方式中,阻挡层118可以是闸门(gate)或门,所述闸门或门可打开以允许基板载体从一个模块移动至下一模块,并可关闭以隔离模块。在一些实施方式中,具索引的串联基板处理工具100可例如包括气幕和闸门两者,使用气幕分离一些模块和使用闸门分离其他模块,和/或使用气幕与闸门分离一些模块。一旦推动机构将基板载体输送至每个腔室中的所需位置,门/闸门组件(和腔室衬垫元件)在基板载体周围形成密封,以形成各腔室内的封闭区域。在门机构打开或关闭时,在每个门与门的相邻载体之间提供气流(即气体净化或气幕)以防止腔室之间的交叉污染。通过一个或更多个排气口接收所提供的气流,所述排气口设置在处理工具100的底部中。
在一些实施方式中,根据气幕的位置,通过使用氮气、氢气或氩气的净化气体幕帘提供隔离。例如,将使用氩气或氢气形成较热处理区域中的气幕。远离较热处理区域的闸门附近的较冷区域中的气幕可以是氮气以最小化操作成本。氮气气幕仅可用于每个模块的冷惰性区段。
在一些实施方式中,装载模块104可设置在具索引的串联基板处理工具100的第一端114处,并且卸载模块106可设置在具索引的串联基板处理工具100的第二端116处。当存在装载模块104和卸载模块106时,装载模块104和卸载模块106可分别便于提供基板至具索引的串联基板处理工具100和从具索引的串联基板处理工具100移除基板。在一些实施方式中,装载模块104和卸载模块106可提供真空泵抽和泵回到大气压力的功能,以便于将基板从具索引的串联基板处理工具100外部的大气条件移送至具索引的串联基板处理工具100内的条件中(所述条件可包括真空压力)。在一些实施方式中,可利用一个或更多个基板载体移送机械手从装载模块104提供基板载体和从卸载模块106移除基板载体,从而提供自动化装载基板载体至具索引的串联基板处理工具100和从具索引的串联基板处理工具100自动化卸载基板载体。
在一些实施方式中,可沿具索引的串联基板处理工具100的轴长设置轨道120,以便于引导基板载体穿过具索引的串联基板处理工具100。可沿设施地面或其他底面设置轨道120,在所述设施地面或底面上安装具索引的串联基板处理工具100。在这种实施方式中,每个模块可被配置成被组装为使得可沿模块的暴露的底部设置轨道120,以便于沿轨道120移动基板载体,并使基板载体穿过各个模块。或者,一旦以线性阵列组装,可将轨道120安装至模块的底表面。或者,可将轨道120的各部分安装至每个单独模块的底表面,以使得以线性阵列组装所有模块后形成完整的轨道120。在一些实施方式中,轨道120可包括轮、球轴承或其他类型的滚轴(roller),以便于基板载体沿轨道120低摩擦移动。在一些实施方式中,轨道120可由低摩擦材料制成或可涂有低摩擦材料,诸如下文针对图2所描述的,以便于基板载体沿轨道120低摩擦移动。
在一些实施方式中,可在装载模块104与卸载模块106之间设置清洁模块110。当存在清洁模块110时,清洁模块110可清洁和/或准备基板载体以接收后续移动穿过具索引的串联基板处理工具100的另外一个或更多个基板(如由返回路径箭头108所示)。因此,可重复使用基板载体多次。
图2描绘模块(诸如模块102D)的示例性配置的截面图,所述模块可用作上文描述的多个模块112中的一个或更多个模块,并且在一些实施方式中,所述模块作为配置用于在基板上沉积材料的模块。尽管下文关于具体模块(102D)作出一般讨论,但是下文讨论一般适用于除仅对于沉积工艺具体所需的部件和/或配置以外的所有模块。
参照图2,在一些实施方式中,模块102D一般包括壳体202。壳体202可由任何适合于半导体处理的材料制成,例如金属,诸如铝、不锈钢或类似材料。壳体202可具有任何适合于容纳基板载体(例如,下文描述的基板载体502)的尺寸,所述基板载体被配置成载运给定尺寸的一个或更多个基板以及促进所需流率和分布。例如,在一些实施方式中,壳体可具有约24英寸或约36英寸的高度和长度,以及约6英寸的深度。
在一些实施方式中,可通过将多个板耦接在一起形成壳体202来组装壳体202。可配置每个壳体202以形成能够执行工艺的所需部分的特定模块(例如,模块102D)。通过用这种方式组装壳体202,可经由简单且成本有效的工艺以多个数量生产用于多个应用的壳体202。
壳体的下表面206支撑基板载体,并为基板载体提供线性移动穿过模块102D至多个模块的相邻模块的路径。在一些实施方式中,下表面206可被配置作为轨道120。在一些实施方式中,下表面206可具有耦接至下表面206的轨道120或轨道的一部分。在一些实施方式中,下表面206或轨道120可包括涂层,例如干润滑剂,诸如含镍合金(NiAl)的涂层,以便于基板载体移动穿过模块102D。替代地或结合地,在一些实施方式中,可在下表面206上方设置多个滚轴(228处的虚线所示)以便于基板载体移动穿过模块102D。在这种实施方式中,多个滚轴228可由任何对工艺环境非反应性的材料制成,例如,诸如石英(SiO2)。
在一些实施方式中,可在壳体202的第一端216和/或第二端218附近设置阻挡层219(例如,以形成如图1所示的阻挡层118)。当存在阻挡层219时,阻挡层219将多个模块中的每个模块与相邻模块隔离,以防止交叉污染或混合模块之间的环境。在一些实施方式中,阻挡层219可以是气流,例如净化气体流,通过设置在模块102D上方的气体入口(例如,诸如气体入口208)提供所述气流。替代地或结合地,在一些实施方式中,阻挡层219可以是可移动的闸门。闸门针对某些工艺(例如,在工序的沉积部分期间)提供额外隔离。
在一些实施方式中,闸门可由金属制成,所述金属诸如铝、抛光不锈钢或类似物。在其他实施方式中,处理系统的较热区域中的闸门可由石英制成以承受高温。
在一些实施方式中,模块102D可包括设置在壳体的一个或更多个侧面中的一个或更多个窗口,例如诸如图2中所示,窗口214被设置在壳体202的侧面220中。当存在窗口214时,窗口214允许从例如辐射热灯将辐射热提供至壳体202中,所述辐射热灯设置在与壳体202内部相对的窗口214的侧面上。窗口214可由任何适当材料制成,所述材料允许经过窗口214的辐射热通过,同时当暴露于壳体202内的处理环境中时抗降解。例如,在一些实施方式中,窗口214可由石英(SiO2)制成。
在一些实施方式中,模块102D可包括气体入口208,所述气体入口设置在壳体202的顶部230附近以经由壳体202中形成的通孔231提供一种或更多种气体至壳体202中。可以任何适合于将所需工艺气流提供至壳体202的方式配置气体入口208。可在两个基板载体之间提供气体喷射,以在两个基板载体之间的反应区域中容纳工艺气体,和/或在基板载体与模块壁之间容纳净化气体。
例如,参照图4,在一些实施方式中,气体入口208可包括具有多个气体孔410的气体分配板402。气体孔410可被配置成将所需的工艺气体流提供至壳体202中。例如,在一些实施方式中,气体孔410可包括多个内部气孔408和多个外部气槽406,诸如图4中所示。在这种实施方式中,内部气孔408可将工艺气体的高速喷射流提供至壳体202的中央区域以促进工艺。在一些实施方式中,外部气槽406可在设置于基板载体中的基板之上提供工艺气体的较低速层流。
返回参照图2,在一些实施方式中,模块102D可包括排气装置221,所述排气装置耦接至与气体入口208相对的壳体202的一部分(例如,底部204),以便于经由在壳体202的底部204中形成的通道233从壳体202移除气体。
参照图3,在一些实施方式中,模块102D可包括一个或更多个加热灯(图示两个加热灯302、304),所述加热灯耦接至壳体202的侧面306、308。加热灯302、304经由窗口214将辐射热提供至壳体202中。加热灯302、304可以是适合于将足够辐射热提供至壳体中的任何类型的加热灯,以执行模块102D内工艺的所需部分。例如,在一些实施方式中,加热灯302、304可以是线性灯或分区线性灯,所述灯能够以约0.9微米的波长或在一些实施方式中以约2微米的波长提供辐射热。可基于所需应用选择用于各种模块中的灯的波长。例如,可选择所述波长以提供所需灯丝温度。低波长的灯泡较为便宜,使用较少功率,并可用于预热。较长波长的灯泡提供高功率,以便于提供例如用于沉积工艺的较高工艺温度。
在一些实施方式中,可在一个或更多个区域中提供红外线(IR)灯,以将热能提供至基板载体并最终提供至基板。不需要沉积的腔室部分(诸如窗口)可由不会吸收IR光能并变热的材料制成。这种热管理使沉积保持实质上控制在所需区域。IR灯的一个或更多个区域(例如,在模块侧面自上而下的水平带中)便于控制垂直温度梯度以补偿耗尽效应(depletioneffect)或者沉积或其他处理的其他垂直非均匀性。在一些实施方式中,还可随时间推移以及在区域之间调节温度。除上文针对图4描述的气体喷射调节外,或与气体喷射调节相结合,这种类型的粒状温度控制可促进控制基板自上而下以及侧向边缘到边缘的基板处理结果(例如,沉积膜的厚度或掺杂剂浓度和/或深度的均匀性)。
图5描绘可与本文描述的本实用新型实施方式一起使用的基板载体502的至少一个示例性实施方式。基板载体502可支撑两个或更多个基板,并将两个或更多个基板载运穿过具索引的串联基板处理工具100或载运至群集基板处理工具(未图示)。在一些实施方式中,基板载体502一般可包括底座512和一对相对的基板支撑件508、510。可在用于处理的基板支撑件508的每一个上设置一个或更多个基板(图5所示的基板504、506)。在一些实施方式中,将基板支撑件508、510紧固在基板载体502上,并且基板支撑件508、510可相对于彼此保持成锐角,其中所述基板面对彼此并界定两者之间的反应区域。例如,在一些实施方式中,将基板支撑件508、510保持与垂直线成约2度与10度之间的角度。
底座512可由任何适合于在处理期间支撑基板支撑件508、510的材料制成,例如诸如石墨。在一些实施方式中,可在底座512中形成第一槽526和第二槽528,以允许基板支撑件508、510至少部分地设置在第一槽526和第二槽528内,以将基板支撑件508、510维持在所需位置以便处理。在一些实施方式中,基板支撑件508、510一般向外略微倾斜,以使得基板支撑表面一般彼此相对,并以“v”形布置。在一些实施方式中,底座512由隔热材料(insulatingmaterial)制成,并且可以是透明或不透明石英或者透明与不透明石英的组合以便温度管理。
在底座512的底表面527中设置沟道514,并自底座512的顶表面529穿过底座512至沟道514设置开口518,以形成一种或更多种气体流动穿过底座512的路径。例如,当在模块(诸如上文描述的模块102D)中设置基板载体502时,开口518和沟道514便于气体从气体入口(例如,上文描述的气体入口208)流动到模块排气装置(例如,上文描述的模块102D的排气装置221)。托架可由石英制成,其中将排气装置和清洁沟道机械加工成设置在石英下方的金属底座或石英。可提供挡扳以促进使穿过底座512的流体平坦。
在一些实施方式中,底座512可包括管道516,所述管道设置在底座512内并外接沟道514。管道516可具有沿管道516的长度形成的一个或更多个开口,以将管道516流体地耦接至沟道514,以允许气体从管道516流动到沟道514。在一些实施方式中,在模块中设置基板载体502时,可将清洁气体提供至管道516和沟道514,以便于从沟道514移除沉积材料。可在一个或更多个排气装置附近提供清洁气体,以防止在排气装置内沉积工艺副产物,从而减少清洁/维护所需的停机时间。清洁气体可以是任何适合于从模块中移除特定材料的气体。例如,在一些实施方式中,清洁气体可包括一种或更多种含氯气体,诸如氯化氢(HCl)、氯气(Cl2)或类似气体。或者,在一些实施方式中,可将惰性气体提供至管道516和沟道514,以通过在流动穿过沟道的排放气体与沟道表面之间形成阻挡层来最小化沟道514上的材料沉积。
基板支撑件508、510可由任何适合于在处理期间支撑基板504、506的材料制成。例如,在一些实施方式中,基板支撑件508、510可由石墨制成。在这种实施方式中,石墨可例如用碳化硅(SiC)涂覆,以提供抗降解性和/或最小化基板污染。
相对的基板支撑件508、510包括各自的基板支撑表面520、522,所述基板支撑表面从底座512向上和向外延伸。因此,当在基板支撑件508、510上设置基板504、506时,基板504、506中每一个的顶表面505、507面向彼此。在处理期间使基板504、506面向彼此有利地在基板之间(例如,基板支撑件508、510之间的区域524中)产生辐射腔,所述辐射腔向基板504、506两者提供相等且对称的热量,从而促进基板504、506之间的处理均匀性。
在一些实施方式中,在处理期间,将工艺气体提供至基板支撑件508、510之间的区域524,同时设置在基板支撑件508、510的背侧530、532附近的热源(例如,上文描述的加热灯302、304)将热量提供至基板504、506。与在热源与基板支撑件之间提供工艺气体的传统处理系统相比,将工艺气体提供至基板支撑件508、510之间的区域524有利地减少了工艺气体对模块内部部件的暴露,因此减少了模块内冷部位(coldspot)(例如,模块壁、窗口或类似者)上的材料沉积。另外,本创造者已观察到,通过经由基板支撑件508、510的背侧530、532加热基板504、506,模块内的任何杂质将沉积在基板支撑件508、510的背侧530、532上,而不是沉积在基板504、506上,从而有利地允许在基板504、506顶部上沉积具有高纯度和低颗粒数的材料。
如上述附图所描述的,在具索引的串联基板处理工具100的操作中,将基板载体502中设置有第一组基板(例如,基板504、506)的基板载体502提供至第一模块(例如,第一模块102A)。当存在阻挡层时,可关闭或打开第一模块的第一侧和/或第二侧上的阻挡层(例如,阻挡层118或阻挡层219)以便于隔离第一模块。可随后在第一组基板上执行工艺的第一部分(例如,沉积工艺的净化步骤)。在完成工艺的第一部分后,将第二基板载体中设置有第二组基板的第二基板载体提供至第一模块。在将第二基板载体提供至第一模块时,第二基板载体将第一载体推动至第二模块(例如,第二模块102B)。随后在第一模块中的第二组基板上执行工艺的第一部分,而在第二模块中的第一组基板上执行工艺的第二部分。重复添加后续基板载体,以将每个基板载体提供至固定位置(即处于所需模块内),从而提供基板载体的机械索引。在基板内完成工艺时,可经由卸载模块(例如,卸载模块106)从具索引的串联基板处理工具100移除载体。
图6A描绘可与本文描述的本实用新型的实施方式一起使用的排气系统600的至少一个示例性实施方式。在图6A中,可移动基板载体602可被可移动地设置在底板650(例如,上文针对图1讨论的轨道120)上,以便于移动一个或更多个基板穿过图1中描述的具索引的串联基板处理工具100,或进出独立、串联或群集基板处理工具。在一些实施方式中,底板650的顶表面652可包括涂层,所述涂层例如干润滑剂和/或磨损增强材料,诸如含有镍合金(NiAl)的涂层或干润滑剂,以便于移动基板载体穿过或进出处理工具。替代地或结合地,在一些实施方式中,可在基板载体602与底板650之间设置多个滚轴、轮、低接触面积轴承表面/特征结构,以便于移动基板载体穿过或进出处理工具。
在一些实施方式中,可移动基板载体602可包括一对基板支撑板604,所述基板支撑板在主要垂直定向上面对彼此。可将基板支撑板604直接耦接在一起(例如,使用紧固件或经由柱体(post)紧固在一起)或耦接至可移动基板载体602。在一些实施方式中,每个基板支撑板604包括基板支撑表面606,所述基板支撑表面从基板支撑板604的底部向上和向外延伸,以使得当在可移动基板载体602上安装基板支撑板604时,基板支撑表面606形成如图6A所示的“V”图案。基板支撑表面606包括一个或更多个凹穴(pocket),用于当一个或更多个基板设置在基板支撑表面606上时基板时支撑所述基板。因此,当基板被设置在基板支撑表面606上时,每个基板待处理的顶表面面对彼此。在处理期间使基板面向彼此有利地在基板之间(例如,基板支撑表面606之间的区域608中)产生辐射腔,所述辐射腔向基板提供相等且对称的热量,从而促进基板之间的处理均匀性。在一些实施方式中,基板支撑表面606保持与垂直线成约2度与10度之间的角度。在一些实施方式中,当将支撑板604耦接在一起时,支撑板604的侧面实质上形成密封以抑制工艺气体从支撑板604的侧面逸出。另外,当将支撑板604放置在一起时,沿支撑板604的底部形成底部排气槽620以促进基板处理气体的排放。
在一些实施方式中,如针对图5的基板载体502所描述的,将工艺气体提供至基板支撑表面606之间的区域608,同时设置在基板支撑表面606的背侧610附近的热源(例如,上文描述的加热灯302、304)将热量提供至设置在基板支撑表面606上的基板。
可移动基板载体602包括传送底座612。在一些实施方式中,基板支撑板604设置在传送底座612中的凹穴614的顶表面615上。可例如使用紧固件或使用设置在传送底座612上的支柱将基板支撑板604限制在传送底座612上。在一些实施方式中,可将间隔件(spacer)618与基板支撑板604一起使用,以帮助将基板支撑板604紧固在传送底座凹穴614的内边缘616内。在一些实施方式中,如果基板支撑板604被充分限制在传送底座凹穴614上,那么可不需要额外的紧固件。在一些实施方式中,间隔件618可由不透明石英制成以阻挡辐射和提供绝缘。在其他实施方式中,透明石英可用于绝缘而不吸收辐射。
传送底座612包括一个或更多个排气口和若干排气沟道和管道,以便于排放一种或更多种不同类型的气体。在一些实施方式中,在传送底座612的顶表面上沿传送基座612的中心线形成第一气体沟道622,并且所述第一气体沟道可流体地耦接至形成于基板支撑板604之间的底部排气槽620。当设置在上面时,第一气体沟道622经由底部排气槽620接收来自工艺气体的排放气体,所述工艺气体(例如,经由气体入口208)被喷射到基板支撑板604之间以当基板设置在所述基板支撑板上时处理基板。经由底部排气槽620接收的排放气体可沿第一气体沟道622行进,并利用沿第一气体沟道622的长度形成的一个或更多个开口624离开第一气体沟道622。一个或更多个开口624的每一个流体地耦接至在传送底座612的底表面上沿传送底座612的中心线形成的第二气体沟道626。因此,一个或更多个开口624使第一气体沟道622流体地耦接至第二气体沟道626。
在一些实施方式中,传送底座612包括一个或更多个净化气体排气管道628,所述净化气体排气管道628沿传送底座612的长度形成,并被设置在基板支撑板604任一侧上的传送底座612的外边缘630附近。净化气体排气管道628接收和排放经由气体入口208喷射的净化气体,以形成上文讨论的净化气体幕帘。一个或更多个净化气体排气管道628的每一个流体地耦接至底部凹穴632,所述底部凹穴632形成在传送底座612的底表面上且流体地耦接至第二气体沟道626。因此,一个或更多个净化气体排气管道628流体地耦接至第二气体沟道626。
在一些实施方式中,底板650包括在底板650的顶表面上沿底板650的中心线形成的中央气体沟道656。中央气体沟道656流体地耦接至一个或更多个排气管道658,所述排气管道658从底板650的顶表面延伸至底板650的底表面668。中央气体沟道656与传送底座612上的第二气体沟道626流体地耦接,以接收排放气体。排气管道658流体地耦接至口662,所述口662从系统600接收排放气体。
在一些实施方式中,当在处理工具中设置基板载体602时,可将清洁气体提供至排气系统以便于从排气系统移除沉积材料。具体地说,针对图6A的实施方式,可通过清洁气体供应口664将一种或更多种清洁气体提供至形成于底板650中的一个或更多个清洁气体供应管道666。清洁气体防止工艺副产物在排气装置内沉积,从而减少清洁/维护所需的停机时间。清洁气体可以是任何适合于从模块移除特定材料或防止在模块部件上沉积的气体。例如,在一些实施方式中,清洁气体可包括一种或更多种含氯气体,诸如氯化氢(HCl)、氯气(Cl2)或类似气体。或者,在一些实施方式中,可将惰性气体提供至清洁气体供应管道666,以通过在流动穿过管道的排放气体与管道表面之间形成阻挡层来最小化上文描述的任何气体管道(例如,管道、槽、开口和沟道)中的材料沉积。
当将基板载体602移动至底板650上的位置中时,清洁气体供应管道666与形成于传送底座612中的一个或更多个清洁气体供应管道670实质上对齐。清洁气体供应管道670经由入口674流体地耦接至清洁气体供应沟道676。清洁气体供应沟道676将清洁气体供应至形成于传送底座612的顶部上的清洁气体供应槽672(过孔(via))。清洁气体供应槽672流体地耦接至传送底座612的顶部上的第一气体沟道。因此,经由与上文描述的工艺气体相同的路径排放清洁气体(例如,经由开口624、第二气体沟道626、中央气体沟道656、排气管道658和排气口662)。在一些实施方式中,将通过清洁气体供应口664供应的清洁气体与通过气体入口208供应的工艺气体排气混合。在其他实施方式中,仅供应清洁气体以清洁上文描述的排气管道。
在一些实施方式中,中央气体沟道656可包括由不透明石英材料制成的衬垫660。在一些实施方式中,底板650可包括一个或更多个冷却沟道654以便于热量移除。可将一个或更多个沟道流体地耦接至冷却剂供应器(未图示)。
上文描述的排气系统600的部件可由任何适合于支持基板处理的材料制成。例如,在一些实施方式中,基板支撑板604或支撑表面606可由石墨制成。在这种实施方式中,石墨可例如用碳化硅(SiC)涂覆,以提供抗降解性和/或最小化基板污染。在一些实施方式中,基于各个工艺所需的加热或沉积分布,上文描述的任何部件可视需要由透明或不透明石英制成。
在一些实施方式中,清洁气体供应口664可耦接至一个或更多个质量流量控制器680,以将清洁气体提供至排气系统600。质量流量控制器680可耦接至控制器682,以控制所供应的一种或更多种清洁气体的量和浓度。控制器682包括中央处理单元(CPU)684、存储器686和支持电路688。控制器682可以是任何形式的通用计算机处理器之一,所述通用计算机处理器能够用于控制各种基板处理工具或所述基板处理工具的部件的工业设置。控制器682的存储器或计算机可读介质686可以是可易于取得的存储器中的一个或更多个,所述可易于取得的存储器诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、光存储介质(例如,光盘或数字视频光盘)、闪存驱动器或任何其他形式的本地或远程数字存储器。支持电路688耦接至CPU684,用于以传统方式支持所述处理器。这些电路包括高速缓冲存储器、电源、时钟电路、输入/输出电路和子系统和类似物。可将本文描述的具创新性的方法作为软件程序存储在在存储器686中,所述软件程序可被执行或调用以用本文描述的方式控制排气系统600的操作。还可通过第二CPU(未图示)存储和/或执行软件程序,所述第二CPU位于由CPU684控制的硬件的远程位置。
图6B描绘传送底座612的俯视示意图,所述传送底座612包括凹穴614、第一气体沟道622、开口624、净化气体排气管道628、清洁气体供应槽672和入口674。图6C和图6D是传送底座612和底板650的等距视图。在图6C和图6D中还图示了基板载体导向器690,所述基板载体导向器690帮助将基板载体602保持在底板650上。
图7A描绘排气系统700的另一示例性实施方式,所述实施方式可与本文描述的本实用新型的实施方式一起使用。针对图5和图6A至图6D的实施方式所描述的特征结构/部件中的一些可与下文描述的特征结构结合使用或代替下文描述的特征结构。
在图7A中,可移动基板载体702可被移动地设置在底板750(例如,上文针对图1讨论的轨道120)上,以便于移动一个或更多个基板穿过图1中描述的具索引的串联基板处理工具100,或进出独立或群集基板处理工具。在一些实施方式中,基板载体702可通过在低接触面积轴承表面/特征结构710上滑动而沿底板750移动。在一些实施方式中,特征结构710和/或底板750的顶表面752例如可包括涂层,以便于移动基板载体702穿过或进出处理工具,所述涂层诸如上文描述的任何类似涂层。
在一些实施方式中,可移动基板载体702可包括如上文针对图6A所描述的一对基板支撑板604。在一些实施方式中,基板支撑板604可设置在传送底座712上,并且由传送底座712支撑所述基板支撑板。可提供多个支撑件704,以将基板支撑板604上升到传送底座712上方,以提供沟道用于使提供给模块的净化气体经由中央排气槽722排气,如下文所描述。在一些实施方式中,可经由紧固件或其他适当手段将基板支撑板604耦接至传送底座712。在一些实施方式中,可将支撑件704装配到底座中的槽中,使得不需要紧固件。根据所需的热耦合,支撑件704可由与基板支撑板604相同的材料或替代材料制成。
传送底座712包括中央排气槽722,以便于一种或更多种不同类型气体的排放。中央排气槽722将传送底座712的顶表面流体地耦接至传送底座712的底表面。在一些实施方式中,中央排气槽722沿如图7B的等距视图中所示的传送底座712的中心线形成。中央排气槽722流体地耦接至形成于基板支撑板604之间的底部排气槽620。中央排气槽722经由底部排气槽620接收来自工艺气体的排放气体,所述工艺气体(例如,经由气体入口208)被喷射到基板支撑板604之间以当基板设置在所述基板支撑板上时处理基板。由中央排气槽722经由底部排气槽620所接收的排放气体可行进穿过中央排气槽722至排气组件716。在一些实施方式中,底板750可包括一个或更多个冷却沟道754,以便于热量移除。一个或更多个沟道可流体地耦接至冷却剂供应器(未图示)。
在一些实施方式中,排气组件可包括盖板730、挡板732、偏转板734、排气底座736和底板750的一个或更多个。可在底板750中形成的凹穴中设置盖板730、挡板732、偏转板734和排气底座736。
在一些实施方式中,盖板730可设置在传送底座712的中央部分的正下方。盖板730可包括中央排气槽726,所述中央排气槽726与传送底座712的中央排气槽722实质上对齐。盖板730均匀分配排气流以最小化流动的沟道作用(channeling)。盖板730还可减小排气装置中的颗粒回流到基板支撑件之间的区域中并到达基板的概率。图7C是盖板的等距视图,示出了中央排气槽726。在一些实施方式中,可通过排气底座736的上表面将盖板730的底表面支撑在排气组件716中。在一些实施方式中,盖板730的底表面还可包括阶梯特征结构(stepfeature)740,以帮助紧固盖板730。
在一些实施方式中,当存在挡板时,挡板732可设置在传送底座712正下方或可设置在盖板730下方。挡板包括多个排气孔724,所述排气孔724延伸穿过挡板732的主体并被配置成将从排气槽722和726的一个或更多个中接收的排放气体更加均匀地传递至排气组件716的下部。在一些实施方式中,挡板的底表面可包括一个或更多个凹槽742,所述凹槽742被支撑脊(supportridge)738分离,以提供更加均匀的排气流。图7D是挡板的等距仰视图,示出了排气孔724和被支撑脊738分离的一个或更多个凹槽742。在一些实施方式中,可通过偏转板734的上表面将挡板732的底表面支撑在排气组件716中。在一些实施方式中,盖板730的底表面还可包括阶梯特征结构740。
在一些实施方式中,偏转板734可设置在挡板732正下方并位于挡板732的排气孔724与排气口762之间。偏转板734进一步分配排气组件的气压梯度,以在操作期间提供来自模块的更加均匀的排气。偏转板734可包括多个排气槽744,所述排气槽744设置在偏转板734的边缘附近并沿偏转板734的长度形成。排气槽744将排放气体提供至形成于排气底座736的底部中的气体沟道748。图7E是偏转板734的等距视图,示出了排气槽744。在一些实施方式中,可通过排气底座736上形成的阶梯特征结构746将偏转板734的底表面支撑在排气组件716中。
在一些实施方式中,排气底座736可设置在底板750的凹槽758中。在一些实施方式中,排气底座736可包括一个或更多个排气管道760,所述排气管道760与底板750中的一个或更多个排气管道756对齐。排放气体可随后经由排气口762离开排气组件716。图7F和图7G是排气底座736和底板750的等距视图,分别示出了排气管道760和底板750的凹槽758。
类似于上文针对图6A所讨论的实施方式,在一些实施方式中,可将清洁气体提供至排气系统以便于从排气系统移除沉积材料。具体地说,针对图7A的实施方式,并且在图7F和图7H中的排气底座736的等距视图中更清楚地示出,可通过清洁气体供应口764将一种或更多种清洁气体提供至排气底座736中形成的一个或更多个清洁气体供应管道780。可在排气底座736的两端上形成清洁气体供应管道780,以提供清洁气体至排气系统700的更加均匀的分配。清洁气体可以是任何适合于从模块中移除特定材料的气体。例如,在一些实施方式中,清洁气体可包括一种或更多种含氯气体,诸如氯化氢(HCl)、氯气(Cl2)或类似气体。或者,在一些实施方式中,可将惰性气体提供至清洁气体供应管道780,以通过在流动穿过管道的排放气体与管道表面之间形成阻挡层来最小化上文描述的任何气体管道(例如,管道、槽、开口和沟道)中的材料沉积。
如图7H所示,清洁气体供应管道780流体地耦接至管道778,并随后耦接至清洁气体管道776。如图7A和图7F所示,清洁气体管道776可包括多个供应入口782,所述供应入口782沿管道776的长度设置以将清洁气体提供至挡板732上方的区域。因此,经由与上文描述的工艺气体相同的路径(例如,经由排气孔724、排气槽744、排气管道760和756以及排气口762)排放清洁气体。
在一些实施方式中,传送底座712包括一个或更多个净化气体排气管道728,所述净化气体排气管道728沿传送底座712的长度形成并被设置在基板支撑板604任一侧上的传送底座712的外边缘附近。净化气体排气管道728接收和排放经由气体入口208喷射的净化气体,以形成上文讨论的净化气体幕帘。一个或更多个净化气体排气管道728的各个管道经由排气孔724、排气槽744、排气管道760和756以及排气口762中的一个或更多个流体地耦接至排气口762。在其他实施方式中,净化气体排气管道728排放至位于每个闸门模块门/闸门附近的单独“清洁”排气处以便回收和再循环(未图示)。
上文描述的排气系统700的部件可由任何适合于支持基板处理的材料制成。例如,在一些实施方式中,基于各个工艺所需的加热或沉积分布,上文描述的任何部件可视需要为SiC涂覆的石墨、透明(例如,透明的)或不透明(例如,不透明的)石英。涂覆有干润滑剂且适用于高温基板处理腔室中的不锈钢可用于其他部件。
在一些实施方式中,清洁气体供应口764可耦接至一个或更多个质量流量控制器(例如,针对图6A描述的质量流量控制器680)以将清洁气体提供至排气系统700。可将质量流量控制器耦接至控制器(例如,针对图6A描述的控制器682)以控制所供应的一种或更多种清洁气体的量和浓度。
尽管前述内容是针对本实用新型的实施方式,但是可在不脱离本实用新型基本范围的情况下设计出本实用新型的其他和进一步的实施方式。

Claims (15)

1.一种用于移除排放气体的设备,其特征在于,所述设备包括:
载体,所述载体用于在基板处理工具中支撑一个或更多个基板,所述载体具有第一排气出口;和
排气组件,所述排气组件包括:
第一入口,所述第一入口设置于所述载体附近以从所述载体的所述第一排气出口接收工艺排气;
第二入口,所述第二入口用来接收清洁气体;和
出口,所述出口用来移除所述工艺排气和所述清洁气体。
2.如权利要求1所述的设备,其特征在于,所述载体可移动地耦接至所述排气组件。
3.如权利要求1所述的设备,其特征在于,所述载体包括一对倾斜支撑件,所述支撑件面向彼此以形成“v”,其中所述第一排气出口是设置在所述倾斜支撑件之间的所述“v”的底部附近的槽。
4.如权利要求1所述的设备,其特征在于,所述排气组件上的用来接收清洁气体的所述第二入口设置在所述排气组件的底表面上。
5.如权利要求1至2中任一项所述的设备,其特征在于,所述载体包括:
一对基板支撑板,所述基板支撑板耦接在一起并沿所述耦接支撑板的底部形成排气槽,以便于排放基板处理气体;
传送底座,所述传送底座具有在所述传送底座的上表面中形成的第一凹槽和在所述第一凹槽内形成的第一气体沟道,
其中所述一对基板支撑板设置在所述第一凹槽中,且其中所述传送底座的所述第一气体沟道流体地耦接至所述一对基板支撑板之间形成的所述排气槽。
6.如权利要求5所述的设备,其特征在于,所述传送底座的所述第一气体沟道包括多个排气开口,所述排气开口沿所述第一气体沟道的长度形成且位于所述第一气体沟道的外边缘附近。
7.如权利要求6所述的设备,其特征在于,所述多个排气开口流体地耦接至所述传送底座的底表面上形成的第二气体沟道,且其中所述第二气体沟道流体地耦接至所述第一排气出口。
8.如权利要求7所述的设备,其特征在于,所述排气组件包括耦接至所述第二入口的第一清洁气体管道,其中所述传送底座包括第二清洁气体管道,所述第二清洁气体管道流体地耦接至所述排气组件的所述第一清洁气体管道,且其中所述传送底座的所述第二清洁气体管道流体地耦接至所述传送底座的所述第一气体沟道。
9.如权利要求8所述的设备,其特征在于,所述传送底座的所述第二清洁气体管道经由多个清洁气体供应槽流体地耦接至所述传送底座的所述第一气体沟道。
10.如权利要求1至4中任一项所述的设备,其特征在于,所述清洁气体是氯气和氯化氢之一或两者。
11.如权利要求1至4中任一项所述的设备,其特征在于,所述载体包括净化气体管道,所述净化气体管道位于处理腔室的壁附近且被配置成排放喷射到所述处理腔室中的净化气体。
12.如权利要求11所述的设备,其特征在于,所述净化气体管道流体地耦接至所述载体的所述第一排气出口。
13.如权利要求1至4中任一项所述的设备,其特征在于,所述排气组件包括净化气体管道,所述净化气体管道被配置成排放在处理腔室的壁附近喷射的净化气体。
14.如权利要求5所述的设备,其特征在于,所述传送底座可移动地耦接至所述排气组件,且其中所述传送底座的底表面中的至少一部分涂有镍合金基润滑剂,以便于沿所述排气组件的顶表面移动所述传送底座。
15.如权利要求1至4中任一项所述的设备,其特征在于,所述排气组件包括:底板,在所述底板的顶表面中形成有凹槽;和设置在所述底板的所述凹槽内的盖板、挡板、偏转板或排气板中的至少一个。
CN201390000751.2U 2012-09-04 2013-08-20 用于移除排放气体的设备 Expired - Lifetime CN204809192U (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261696778P 2012-09-04 2012-09-04
US61/696,778 2012-09-04
US201261711493P 2012-10-09 2012-10-09
US61/711,493 2012-10-09
US13/721,311 2012-12-20
US13/721,311 US9111980B2 (en) 2012-09-04 2012-12-20 Gas exhaust for high volume, low cost system for epitaxial silicon deposition
PCT/US2013/055796 WO2014039249A1 (en) 2012-09-04 2013-08-20 Gas exhaust for high volume, low cost system for epitaxial silicon deposition

Publications (1)

Publication Number Publication Date
CN204809192U true CN204809192U (zh) 2015-11-25

Family

ID=50185658

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201390000751.2U Expired - Lifetime CN204809192U (zh) 2012-09-04 2013-08-20 用于移除排放气体的设备
CN201390000752.7U Expired - Lifetime CN204809242U (zh) 2012-09-04 2013-08-21 供处理腔室中使用的气体喷射器和包括所述气体喷射器的基板处理工具
CN201390000749.5U Expired - Lifetime CN204809191U (zh) 2012-09-04 2013-08-21 供串联基板处理工具中使用的门和包括所述门的串联基板处理工具

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201390000752.7U Expired - Lifetime CN204809242U (zh) 2012-09-04 2013-08-21 供处理腔室中使用的气体喷射器和包括所述气体喷射器的基板处理工具
CN201390000749.5U Expired - Lifetime CN204809191U (zh) 2012-09-04 2013-08-21 供串联基板处理工具中使用的门和包括所述门的串联基板处理工具

Country Status (4)

Country Link
US (3) US20140060435A1 (zh)
JP (3) JP3199711U (zh)
CN (3) CN204809192U (zh)
WO (3) WO2014039249A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140060435A1 (en) * 2012-09-04 2014-03-06 Applied Materials, Inc. Doors for high volume, low cost system for epitaxial silicon deposition
KR101782874B1 (ko) * 2012-10-09 2017-09-28 어플라이드 머티어리얼스, 인코포레이티드 인덱싱된 인라인 기판 처리 툴
JP5895929B2 (ja) * 2013-12-25 2016-03-30 ウシオ電機株式会社 光照射装置
WO2016043965A1 (en) * 2014-09-19 2016-03-24 Applied Materials, Inc. Parallel plate inline substrate processing tool
JP6511319B2 (ja) * 2015-03-31 2019-05-15 株式会社熊谷組 構造物の点検装置
CN106684029B (zh) * 2015-11-10 2021-01-08 北京北方华创微电子装备有限公司 承载装置及半导体加工设备
DE102016110788A1 (de) * 2016-06-13 2017-12-14 Aixtron Se Vorrichtung und Verfahren zur Herstellung von optoelektronischen Bauelementen, insbesondere von Multi-Junction-Solarzellen im Durchlaufverfahren
US11124878B2 (en) 2017-07-31 2021-09-21 Applied Materials, Inc. Gas supply member with baffle
EP3937219B1 (de) * 2020-07-06 2023-08-30 Siltronic AG Verfahren zum erzeugen eines gasvorhangs aus spülgas in einem schlitzventiltunnel und schlitzventiltunnel
CN115020300B (zh) * 2022-06-29 2023-09-19 江苏实为半导体科技有限公司 一种基于化合物半导体设备的分区式加热盘
CN115421898A (zh) * 2022-11-07 2022-12-02 杭州比智科技有限公司 一种基于quartz框架的大数据任务调度管理系统及方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4489275A (en) * 1982-09-09 1984-12-18 Sri International High temperature sample heating for spectroscopic studies apparatus
JP2714833B2 (ja) * 1988-12-18 1998-02-16 日本真空技術株式会社 仕込・取出室
KR100246031B1 (ko) * 1993-02-12 2000-04-01 오카메 히로무 알루미늄 진공 브레이징로 및 알루미늄 진공 브레이징 방법
US5452801A (en) * 1994-07-13 1995-09-26 Middlesex General Industries, Inc. Conveyor cassette for wafers
JP3381443B2 (ja) 1995-02-02 2003-02-24 ソニー株式会社 基体から半導体層を分離する方法、半導体素子の製造方法およびsoi基板の製造方法
DE19608885B4 (de) * 1996-03-07 2006-11-16 Wacker Chemie Ag Verfahren und Vorrichtung zum Aufheizen von Trägerkörpern
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
WO1999025909A1 (fr) * 1997-11-14 1999-05-27 Super Silicon Crystal Research Institute Corp. Four pour croissance epitaxiale
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6612590B2 (en) * 2001-01-12 2003-09-02 Tokyo Electron Limited Apparatus and methods for manipulating semiconductor wafers
US20020096114A1 (en) * 2001-01-22 2002-07-25 Applied Materials, Inc. Series chamber for substrate processing
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP3527914B2 (ja) 2002-03-27 2004-05-17 株式会社ルネサステクノロジ Cvd装置およびそれを用いたcvd装置のクリーニング方法
KR20040040690A (ko) * 2002-11-07 2004-05-13 삼성전자주식회사 반도체 소자 제조장비에 사용되는 게이트 밸브 장치
US8153281B2 (en) * 2003-06-23 2012-04-10 Superpower, Inc. Metalorganic chemical vapor deposition (MOCVD) process and apparatus to produce multi-layer high-temperature superconducting (HTS) coated tape
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP4673173B2 (ja) * 2005-09-15 2011-04-20 株式会社日立ハイテクノロジーズ プラズマエッチング方法
WO2007106076A2 (en) * 2006-03-03 2007-09-20 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080047578A1 (en) 2006-08-24 2008-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing clogging of reaction chamber exhaust lines
US20080110569A1 (en) * 2006-11-09 2008-05-15 Go Miya Plasma etching apparatus and plasma etching method
KR100791010B1 (ko) * 2007-01-12 2008-01-03 삼성전자주식회사 반도체 제조 장치 및 이를 이용한 반도체 기판의 처리 방법
KR100839189B1 (ko) * 2007-03-06 2008-06-17 세메스 주식회사 반도체 제조장치 및 반도체 제조장치의 공정챔버에 기판을이송하는 방법
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP5344663B2 (ja) 2007-06-11 2013-11-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および基板処理方法
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US8187434B1 (en) * 2007-11-14 2012-05-29 Stion Corporation Method and system for large scale manufacture of thin film photovoltaic devices using single-chamber configuration
US8377213B2 (en) * 2008-05-05 2013-02-19 Applied Materials, Inc. Slit valve having increased flow uniformity
US8637761B2 (en) 2008-09-16 2014-01-28 Silevo, Inc. Solar cells fabricated by using CVD epitaxial Si films on metallurgical-grade Si wafers
US8652259B2 (en) 2008-10-09 2014-02-18 Silevo, Inc. Scalable, high-throughput, multi-chamber epitaxial reactor for silicon deposition
US20100108134A1 (en) 2008-10-31 2010-05-06 Crystal Solar, Inc. Thin two sided single crystal solar cell and manufacturing process thereof
US8298629B2 (en) 2009-02-25 2012-10-30 Crystal Solar Incorporated High throughput multi-wafer epitaxial reactor
US8673081B2 (en) * 2009-02-25 2014-03-18 Crystal Solar, Inc. High throughput multi-wafer epitaxial reactor
KR101048297B1 (ko) * 2009-06-01 2011-07-13 주식회사 테스 인라인 기판 처리시스템 및 공정챔버
US20110033638A1 (en) * 2009-08-10 2011-02-10 Applied Materials, Inc. Method and apparatus for deposition on large area substrates having reduced gas usage
US20110132755A1 (en) * 2009-12-04 2011-06-09 Kim Woosam In-line system for manufacturing solar cell
TWI436831B (zh) * 2009-12-10 2014-05-11 Orbotech Lt Solar Llc 真空處理裝置之噴灑頭總成
TW201210058A (en) 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US9441295B2 (en) 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9240513B2 (en) 2010-05-14 2016-01-19 Solarcity Corporation Dynamic support system for quartz process chamber
US8562745B2 (en) 2010-05-21 2013-10-22 Silevo, Inc. Stable wafer-carrier system
US8986454B2 (en) * 2010-06-08 2015-03-24 Applied Materials, Inc. Window assembly for use in substrate processing systems
WO2013163192A1 (en) * 2012-04-24 2013-10-31 Applied Materials, Inc. Gas reclamation and abatement system for high volume epitaxial silicon deposition system
US20140060435A1 (en) * 2012-09-04 2014-03-06 Applied Materials, Inc. Doors for high volume, low cost system for epitaxial silicon deposition

Also Published As

Publication number Publication date
CN204809242U (zh) 2015-11-25
JP3199556U (ja) 2015-09-03
CN204809191U (zh) 2015-11-25
US20140060435A1 (en) 2014-03-06
US9111980B2 (en) 2015-08-18
US20140060433A1 (en) 2014-03-06
JP3199711U (ja) 2015-09-10
US20140060434A1 (en) 2014-03-06
JP3199712U (ja) 2015-09-10
WO2014039262A1 (en) 2014-03-13
WO2014039261A1 (en) 2014-03-13
WO2014039249A1 (en) 2014-03-13

Similar Documents

Publication Publication Date Title
CN204809192U (zh) 用于移除排放气体的设备
CN102421934B (zh) 高产量多晶片外延反应器
CN102177275B (zh) 用于硅淀积的外延反应器
US8652259B2 (en) Scalable, high-throughput, multi-chamber epitaxial reactor for silicon deposition
CN103597580A (zh) 用于将材料沉积在基板上的设备
US8865259B2 (en) Method and system for inline chemical vapor deposition
WO2011034751A2 (en) Hot wire chemical vapor deposition (cvd) inline coating tool
CN104246983A (zh) 高容量外延硅沉积系统的气体回收和减量系统
CN205177786U (zh) 用于在基板处理腔室中使用的转位式喷射器及基板处理工具
US20170244006A1 (en) Parallel plate inline substrate processing tool
CN205122538U (zh) 用于内联基板处理工具的窗组件和内联基板处理工具
CN113604873A (zh) 一种气相外延系统及其维护操作方法
CN204144233U (zh) 基板支撑载体和用于处理基板的系统
CN104704624A (zh) 具索引的串联基板处理工具
CN113604875B (zh) 一种气相外延系统及其维护操作方法
CN113604874B (zh) 一种气相外延系统及其维护操作方法
CN103014664A (zh) 化学气相沉积装置
CN104025280A (zh) 用于处理基板的方法和设备

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20151125