CN1947216A - 等离子体加工系统的多片挡板组件 - Google Patents

等离子体加工系统的多片挡板组件 Download PDF

Info

Publication number
CN1947216A
CN1947216A CNA2005800133892A CN200580013389A CN1947216A CN 1947216 A CN1947216 A CN 1947216A CN A2005800133892 A CNA2005800133892 A CN A2005800133892A CN 200580013389 A CN200580013389 A CN 200580013389A CN 1947216 A CN1947216 A CN 1947216A
Authority
CN
China
Prior art keywords
baffle plate
plasma
insertion portion
plate assembly
annular
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800133892A
Other languages
English (en)
Other versions
CN1947216B (zh
Inventor
D·费里斯
A·斯里瓦斯塔瓦
M·滕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of CN1947216A publication Critical patent/CN1947216A/zh
Application granted granted Critical
Publication of CN1947216B publication Critical patent/CN1947216B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

等离子体加工系统包括至少一个多片挡板组件。多片挡板组件一般包括至少一个环形的环部件,具有开口和插入部分,插入部分的尺寸使其可以安装在该开口内。各片挡板可以由陶瓷材料制成。使等离子体加工过程中挡板内热梯度所造成的影响减到最少。

Description

等离子体加工系统的多片挡板组件
背景技术
制造集成电路的过程中,采用光刻技术在基片,如硅晶片,上形成集成电路图案。一般基片涂有光刻胶,光刻胶的一部分曝光于透过掩模的紫外(YV)辐射,以便在光刻胶上形成所要求的电路图案。光刻胶未曝光于UV辐射的部分通过一种加工溶液除去,在基片上只留下曝光部分。这些剩余的曝光部分在光学稳定化加工过程中烘焙,使光刻胶能够承受后续加工。
这样加工后,其中形成集成电路元件之后,一般需要从该晶片除去烘焙后的光刻胶。另外,必须除去加工,诸如蚀刻,在基片表面上引入的残余物。一般,光刻胶被″灰化″或″燃烧″掉,灰化或燃烧的光刻胶连同残余物从该基片表面去除或清除。
除去光刻胶和残余物的一个方式是,通过红外线辐射和引导至该加热基片表面的微波激励的或射频(RF)激励的反应性气体(如等离子体),在真空室内把光刻胶覆盖的基片迅速加热至预定温度。在所得的加工中,反应性等离子体与光刻胶反应,使之灰化,以便随后从晶片去除。
重要的是,该灰化过程基本上以同一速率通过晶片整个表面。为了保证光刻胶有均匀的灰化,应精确地控制该加工条件。必须控制的加工条件包括加工室温度和晶片温度。
为了均匀地把受激等离子体引导到晶片表面,已知的气体分配或挡板组件一般包括一个或两个平行的带孔板,板一般用石英制成;在两个平行板的情况下,一个上石英板和一个下金属板。一般选择石英是因为能够承受高的加工温度。但是,使用石英使可以接受的晶片和加工温度均匀性难以获得。温度不均匀性可能由于石英的不良导热率特性石英板整个表面上出现的大温度梯度而引起。另外,不希望的石英的红外线(IR)波长吸收特性加大了挡板吸收的热能。结果,加工的均匀性和系统的产量受到负面的影响。
由于等离子体设备要使用氟化学,上石英板可以进一步涂覆蓝宝石涂层。蓝宝石涂层的存在可避免该蚀刻的平板暴露于反应性氟物质。实心的单板可以完全用蓝宝石制造;但是,本专业的技术人员一般认为这在成本上是不可行的。涂有蓝宝石涂层的石英板还可以包括由陶瓷材料形成的中心碰撞盘,以便使进入该加工充气室的等离子气体偏转,还降低涂层蓝宝石材料的高温暴露。
已知这类的挡板组件存在几个问题。例如,对于涂有蓝宝石涂层的板,蓝宝石涂层长期使用之后往往变成片剥落,这据信由于位于其上相对该平板的顶部和底部的开孔的不相等和非保形侧壁涂层造成的。再者,涂有蓝宝石涂层的板和/或陶瓷圆盘的周期性更换导致较高最终成本,因为该蓝宝石涂层显著加大石英板的成本。
实心的陶瓷挡板可以解决先有技术所面对的许多问题。但是,可用于等离子体加工室的尺寸的实心陶瓷板在等离子体操作过程中所经受的热梯度可能造成灾难性的失效。在挡板半径大于约5英寸时,该平板内的所谓″循环″应力可能超过陶瓷材料的能力,导致平板开裂。平板的开裂有害地造成颗粒产生以及污染加工室,从而要求代价昂贵高昂的停机、修理和更换。
因此,技术上有必要改善维持等离子体均匀性的挡板组件,并可以承受在等离子体加工过程中使用的不同状态,例如,承受与热梯度相关的应力,和/或经济上可行,和/或与氟化学兼容等等。
发明内容
公开了一多片挡板组件、等离子体加工室和等离子体加工系统。在一实施例中,多片挡板组件包括一般呈平面的多片挡板,其与要加工的基片间隔开并固定定位于其上放。
等离子体加工室,用于加工其中的半导体晶片,包括晶片加工腔,晶片可以插入其中进行加工,该晶片加工腔一部分由包括顶壁的壁部形成;和挡板组件,位于所述晶片加工腔附近,用以分配受激气体,所述挡板组件包括一般呈平面的上挡板,固定定位在一般呈平面的下挡板的上方,所述上挡板包括至少两片挡板,包括至少一个呈环形的环部件,具有开口和插入部分,插入部分的尺寸使其可安装坐在开口内。
加工基片的下游等离子体加工装置包括,气体源,等离子体生成组件,与该气体源流体连通,该等离子体生成组件包括等离子体管和连接到等离子体管的等离子体发生器,用以在等离子体管内生成来自气体源的等离子体;和加工室,与该等离子体管流体连通,其中该加工室包括挡板组件,包括一般呈平面的多片挡板,与要加工的基片间隔开并固定定位其上方。
在另一个实施例中,一种加工基片的下游等离子体处理装置,包括:气体源,等离子体生成组件,与该气体源流体连通,该等离子体生成组件包括等离子体管和连接到该等离子体管的等离子体发生器,用以在等离子体管内生成来自该气体源的等离子体;和加工室,与该等离子体管流体连通,其中该加工室包括挡板组件,包括一般呈平面的上挡板,固定定位在一般呈平面的下挡板的上方,所述上挡板包括至少两片挡板,包括至少一个呈环形的环部件,具有一开口和一插入部分,插入部分的尺寸使其可安装在开口内。
一种防止等离子体加工过程中半径大于4英寸的陶瓷挡板开裂的方法,其中等离子体加工使陶瓷挡板的整个平板经受热温度梯度,方法包括使陶瓷挡板形成至少两片,其中至少两片形成小于0.010英寸的间隙;和使至少两片陶瓷挡板暴露于等离子体加工过程的等离子体。
上述及其他特征通过以下附图和详细说明举例说明。
附图说明
现参见具有示例性实施例的附图,其中类似部件采用类似的标号。
图1是示例性光刻胶灰化器的截面图,其中结合了按照本公开的挡板组件的第一实施例;
图2是给定热梯度的陶瓷挡板中的切向应力的图表;
图3是单层多片挡板组件的分解透视图;
图4是单层多片挡板组件沿剖面4-4的剖视图;
图5是单层多片挡板组件的示例性插入部分的平面图;和
图6是示例性插入部分沿剖面6-6的剖视图。
具体实施方式
现参见附图,图1显示了示例性光刻胶灰化器10,其一般包括气体箱12;微波等离子体发生器组件14;加工室16,围成内腔,其中加工半导体基片,诸如晶片18;和辐射加热器组件20,用以加热位于加工室底部的晶片18。温度探头,如热电耦,用来监测操作过程中晶片18的温度。真空泵26用于对加工室16抽真空,达到加工要求的真空条件。
可选的单色仪28用来监测室内气体的光学发射特性,以便协助确定加工终点。通过适当的加载锁定机构(未示出)可将晶片18经过入口/出口通道30装入加工室16和从中取出。或者,若该设备没有装设加载锁定机构,则晶片18可以直接通过入口/出口通道30引入该加工室16。尽管本公开是在光刻胶灰化器内实现,并在其中实现其特征,但是也可以用于其它半导体制造设备,如残余物去除和剥离处理设备。例如,特别适用于本公开的改进的下游轴流等离子体设备是等离子体灰化器,例如,微波等离子体灰化器,商品名为Radiat-Strip320,可从Axcelis Technologies Corporation(公司)购得。美国专利No.5,498,308和4,341,592和PCT国际专利申请No.WO/97/37055介绍了微波等离子体灰化器的某些部分,在此全文引入参考。正如下面将要讨论的,本公开不打算限于这个或以下的实施例中的任何特定等离子体灰化器。例如,形成加工等离子体可以利用平行板、电容耦合等离子体源、感应耦合等离子体源和它们的任何组合,可带有和不带有DC磁铁系统。或者,该加工等离子体可以利用电子回旋加速谐振形成。在又一实施例中,加工等离子体从发射螺旋形波形成。在又一个实施例中,加工等离子体利用传播表面波形成。
操作时,要求的气体混合物从气体箱通过进口管道34引入等离子体管32。等离子体管32可以用氧化铝(Al2O3)或蓝宝石制成,以适应氟化学,没有腐蚀、退化和/或出现与氟化学相关的其它问题。形成要求混合物的气体贮存在单独的气源(未示出)并借助于阀门36和管道38在气体箱12中混合。要求的气体混合物的示例是氮形成气体(主要是氮,带有小百分比的氢)和氧。含氟气体,如四氟化碳(CF4),可以添加到该气体混合物中,以便提高某些加工的灰化速率。
要求的气体混合物由微波等离子体发生器组件进行激励,形成反应性等离子体,当辐射加热器组件20加热加工室时,等离子体灰化在加工室16中晶片18上的光刻胶。磁控管40产生微波能量,耦合到波导42。微波能量从波导通过包围等离子体管32的微波罩44中的开孔(未示出)输送。
外石英冷却管46包围等离子体管32,与之略微隔开。压缩空气输入管32和46之间的间隙,以便在操作过程中有效地冷却管32。微波罩44可以分为用虚线框45表示的几段。该罩44的分段可在氧化铝或蓝宝石等离子体管的整个长度上提供均匀的微波功率分布,并当提供适当的输入功率时,通过防止无法接受的大热梯度沿着轴向长度发展,防止过热。每段罩44都是单独输送微波能量穿过石英管46和氧化铝或蓝宝石管32。
等离子体管32内的气体混合物受到激励形成等离子体。微波阱48和50可以设置在该微波罩44的两端,以防止微波泄漏。受激等离子体(一般具有约150℃的温度)通过其顶壁52的开口51输入加工室16。
位于等离子体室16顶壁52和进行加工的晶片18之间的是多片挡板组件54。尽管显示为单层多片挡板组件,但是该多片挡板可采取双层多片挡板组件的形式,包括上和下挡板,其中上挡板由多片挡板形成,具有相对单层多片挡板组件介绍的方式。在任何一个实施例中,多片挡板组件使反应性等离子体均匀分布在加工的晶片18整个表面。另外,多片式结构可以把操作过程中的热应力减到最小,已经观察到热应力引起单片陶瓷材料制造的多层的陶瓷类挡板组件的灾难性失效。
例如,如图2所示,使陶瓷(氧化铝)挡板组件暴露于挡板上的热梯度,在操作过程中可能由于圆周应力造成该平板的开裂。适合用作挡板的陶瓷材料一般抗压强度比抗拉强度强。正因如此,在等离子体加工过程中平板的热梯度所引起的圆周应力可能超过该材料的强度,在此特定示例中,陶瓷的抗拉强度约为1E8MPa至约2E8MPa,这是在约4至约5英寸半径平板所表示的最大值。使用所公开的多片式结构允许使用如陶瓷等材料制造挡板,通过防止该圆周应力超过材料强度可避免过早开裂。于是,陶瓷多片挡板组件提供了蓝宝石涂层挡板组件的低廉替换物,并消除了使用蓝宝石覆层相关的问题。多片式陶瓷挡板尤其有希望用于包括氟化学的加工。
再参照图1,操作时,反应性等离子体穿过多片挡板54,用于灰化晶片18上的光刻胶和/或残余物。辐射加热器组件20包括多个位于反射器56中的钨卤素灯58,反射器反射该灯产生的热量并将其引向位于在加工室内石英或陶瓷销68上的晶片18的后侧。一个或多个温度传感器72,如热电耦,可安装在加工室侧壁53内部,以提供壁温度的指示。
单层多片挡板组件54包括一般呈平面的气体分配中心部分74,其上有孔76,周围是法兰盘78。法兰盘78包围该中心部分和位于加工室侧壁53和顶壁52中间。密封79和81分别提供法兰盘78和侧壁53之间和法兰盘78和顶壁52之间的气密连接。密封79和81位于法兰盘78的凹槽内。法兰盘78还提供安装孔(未示出),以安装到顶壁52和侧壁53。
如图3-6更清晰的显示,所显示的单层多片挡板组件54包括一双片结构。但是,尽管该图显示出双片结构,但是可多于两片,而且可能是某些应用的实际要求。另外,对本专业的技术人员很明显,形成挡板的不同片形状不打算限于任何特定的形状或孔图案。已经发现,用多片来形成挡板对释放等离子体操作过程中的热应力是有利的,如阅读本公开的所属专业的技术人员将认识到的,挡板的设计实际上是无限的。
在图3中,显示出多片挡板组件54的分解透视图。多片挡板组件54一般包括一般呈环形的环部件90和插入部分92,中心定位于由一般呈环形的环部件90形成的开口94内。如图所示,示例性单层多片挡板54包括六角形的开口94和六角形的插入部分92。在该实施例中,选择六角形是为了适应特定的等离子体灰化应用所要求的流体图案。如前所述,形成单层挡板组件的环形环部件以及片数可能限定任何开口形状。而且,尽管申请人涉及环形的环部件,但是可想到不同的片不包括环部件。而是,多片挡板可设计和设置成可形成单层挡板。将包括锁定装置,以便把挡板保持在一般呈平面的结构中,锁定装置是本专业的技术人员所熟悉的。
图4显示了单层多片挡板组件54的剖视图。呈环形的环部件90的开口94包括凹进部分96,其尺寸能够接纳肩部98,肩部围绕插入部分92(在图6中更清晰地表示)外沿形成。任选地,3个或更多的支持销100沿径向设置在凹进部分96内,围绕环形凹进部分等距离的设置,使插入部分和呈环形的环部件之间刮擦(并可能产生颗粒)减到最小。插入部分92和呈环形的环部件之间形成小于约0.010英寸的间隙,允许等离子体操作过程中径向膨胀,并提供了可被等离子体浸润的净表面,如同挡板成为单片。图5和6显示了插入部分92。如图所示,插入部分92包括无孔的中心部分。
这样形成的单层多片挡板一般包括多个孔,这些孔设置成径向(或多个同心圆)图案。单层多片挡板可能包括或不包括无孔的中心部分,正如某些等离子体应用可能希望的。挡板组件(单层或双层)的设计一般由施加气体的动力学、材料工程和加工数据确定,以便保证正确压力、气体流动和加工室内的温度梯度。
在双层多片挡板组件的情况下,上挡板和/或下挡板可以包括多片,采用前面描述的方式。例如,上挡板可由多片形成,而下挡板由单个片形成。在双层配置中,上挡板的开孔略微大于下挡板的开孔。另外,可以推荐在该上挡板设有无孔的中心部分。这样,不开孔部分把激励气体从等离子体管沿径向向外转移至该上挡板的其余带孔区域,以便防止晶片的径向内部先于晶片外部加工。上和下挡板之间的距离,部分确定了气体通过双层挡板组件的流动图案。孔设置在下挡板的径向内部,一般不设置在径向外部。下挡板的径向内部的表面足以覆盖位于其下面的晶片。在一个实施例中,一般孔定位成在所有方向上相隔等距离。就是说,彼此紧密相邻的任何3个孔都形成等边三角形。该挡板上孔的其它分布也可用于特定的用途,例如,外径上孔较大,但是内径上孔较小,以便改善灰化均匀性。另外,应该指出,双层挡板组件一般是紧凑的,在加工室内要求垂直空间小于1英寸。
上多片挡板最好由陶瓷材料制成。适当的陶瓷材料包括,但不限于,氧化铝(各种氧化铝)、二氧化锆、不同的碳化物,如碳化硅、碳化硼;不同的氮化物,诸如氮化硅、氮化铝、氮化硼;石英、二氧化硅;不同的氮氧化物,如氮氧化硅等,以及带有如镁、钇、三氧化二镨、铪等元素的稳定陶瓷。任选地,下单片挡板可以是相同或不同的材料,一般是阳极氧化铝。
以下通过非限制性示例进一步说明本发明。
示例
在以下示例中,等离子体灰化器配置有双层多片挡板组件,并与传统的双层挡板组件分开。采集和分析典型数据,比较该两种配置。双层多片挡板组件的上挡板是双片结构,类似于图3-6所示的组件,并由高纯度氧化铝形成。两种配置用的挡板组件是相同的,只是双层多片挡板组件的上挡板是多片式结构。挡板组件经低温等离子体灰化加工(120℃)和高温等离子体灰化加工(270℃)。气体流、压力和微波功率相同。结果分别示于表1和2。比较了两个挡板组件的灰化速率和等离子体均匀性。
表1
  挡板类型   灰化速率(μm/min)   标准偏差(μm/min)   不均匀性(%)(1σ)   标准偏差(1σ)
  对比   0.13   0.002   8.71   0.012
  多片陶瓷   0.12   0.001   11.14   0.209
表2
  挡板类型   灰化速率(μm/min)   标准偏差(μm/min)   不均匀性(%)(1σ)   标准偏差(1σ)
  对比   7.75   0.10   4.1   0.26
  多片陶瓷   7.27   0.02   4.75   0.16
结果表明,使用多片式结构提供类似的灰化行为。
在该示例中,监测到产生大于0.12毫微米的颗粒增加,在等离子体灰化过程中淀积在晶片上。结果示于表3。
表3
 挡板类型  灰化速率(μm/min)  标准偏差(1σ)
 对比  53  16.82
 多片陶瓷  87  10.02
该结果表明,使用陶瓷多片式不会显著地造成颗粒产生。
在该示例中,监测到等离子体灰化加工的终点的时间。涂在300毫米晶片上的光刻胶厚度为1.0微米。结果示于表4。
表4
 挡板类型  灰化速率(μm/min)  标准偏差(1σ)
 对比  10.43  0.41633
 多片陶瓷  11.80  0.1000
该结果表明,对于配置带有多片式陶瓷挡板组件的等离子体灰化器,正如所作的介绍,灰化光刻胶的时间没有显著差别。
尽管已经参照示例性实施例介绍了本发明,但是本专业的技术人员应明白,在不脱离本公开的范围的情况下,可进行各种改变和可采用等效体来代替其中的一些元件。另外,在不脱离其本质范围的情况下,对本公开的内容可以作出许多修改,以适应特定的情况或材料。因此,本公开不打算将公开的特定实施例限定为实施本公开的最佳模式,本公开将包括属于后附的权利要求书的范围内的所有实施例。

Claims (32)

1.一种挡板组件,用以分配等离子体进入相邻的加工腔,加工腔容纳待加工的半导体晶片,组件包括:
一般呈平面的多片挡板,与要加工的基片间隔开,并固定定位于晶片上方。
2.根据权利要求1所述的挡板组件,其特征在于,一般呈平面的多片挡板包括至少一个环形的环部件,具有开口和插入部分,插入部分的尺寸使其可以安装在开口内。
3.根据权利要求2所述的挡板组件,其特征在于,所述开口包括环形凹进部分,所述插入部分包括唇部,可安装在环形凹进部分。
4.根据权利要求3所述的挡板组件,其特征在于,所述环形凹进部分还包括至少3个销,围绕环形凹进部分等距间隔设置,其中所述插入部分由至少3个销支持。
5.根据权利要求1所述的挡板组件,其特征在于,多片挡板包括无孔的中心部分。
6.根据权利要求1所述的挡板组件,其特征在于,所述挡板组件包括上挡板和下挡板,所述上挡板包括多片挡板,具有无孔的中心部分。
7.根据权利要求2所述的挡板组件,其特征在于,环形的环部件和插入部分形成小于0.010英寸的间隙。
8.根据权利要求1所述的挡板组件,其特征在于,所述多片挡板由陶瓷材料制成。
9.一种等离子体加工腔,用于加工其中的半导体晶片,包括:
晶片加工腔,晶片可以插入其中进行加工,晶片加工腔部分由包括顶壁的壁部形成;和
挡板组件,位于所述晶片加工腔附近,用以分配受激气体,所述挡板组件包括一般呈平面的上挡板,其固定定位于一般呈平面的下挡板的上方,所述上挡板包括至少两片挡板,挡板包括至少一个环形的环部件,具有开口和插入部分,插入部分的尺寸使其可以安装在开口内。
10.根据权利要求9所述的等离子体加工腔,其特征在于,所述上挡板由陶瓷材料制成。
11.根据权利要求9所述的等离子体加工腔,其特征在于,开口包括环形凹进部分,插入部分包括唇部,唇部适合安装在环形凹进部分。
12.根据权利要求11所述的等离子体加工腔,其特征在于,环形凹进部分还包括至少3个销,围绕环形凹进部分等距间隔开,其中插入部分由至少3个销支持。
13.根据权利要求9所述的等离子体加工腔,其特征在于,上挡板包括无孔的中心部分。
14.根据权利要求9所述的等离子体加工腔,其特征在于,环形的环部件和插入部分形成小于0.010英寸的间隙。
15.根据权利要求9的等离子体加工腔,其特征在于,所述腔适合接纳直径为至少200毫米的晶片。
16.一种处理基片的下游等离子体处理装置,包括:
气体源;
等离子体生成组件,与气体源流体连通,等离子体生成组件包括等离子体管和连接到该等离子体管的等离子体发生器,在等离子体管内生成来自气体源的等离子体;和
加工腔,与等离子体管流体连通,其中加工腔包括挡板组件,其包括一般呈平面的多片挡板,挡板与要加工的基片间隔开,并固定定位于基片上方。
17.根据权利要求16所述的下游等离子体处理装置,其特征在于,多片挡板由陶瓷材料制成。
18.根据权利要求16所述的下游等离子体处理装置,其特征在于,一般呈平面的多片挡板包括至少一个环形的环部件,具有开口和插入部分,插入部分的尺寸使其可安装在开口内。
19.根据权利要求18所述的下游等离子体处理装置,其特征在于,开口包括环形凹进部分,插入部分包括唇部,唇部可安装在环形凹进部分。
20.根据权利要求19所述的下游等离子体处理装置,其特征在于,环形凹进部分还包括至少3个销,围绕环形凹进部分等距间隔开,其中插入部分由至少3个销支持。
21.根据权利要求16所述的下游等离子体处理装置,其特征在于,多片挡板包括无孔的中心部分。
22.根据权利要求18所述的下游等离子体加工装置,其特征在于,环形的环部件和插入部分形成小于0.010英寸的间隙。
23.一种处理基片的下游等离子体处理装置,包括:
气体源;
等离子体生成组件,与气体源流体连通,等离子体生成组件包括等离子体管和连接到等离子体管的等离子体发生器,以便在等离子体管内生成来自气体源的等离子体;和
加工腔,与等离子体管流体连通,加工腔包括挡板组件,挡板组件包括一般呈平面的上挡板,固定定位于一般呈平面的下挡板的上方,所述上挡板包括至少两片挡板,挡板包括至少一个环形的环部件,具有开口和插入部分,插入部分的尺寸使其可以安装在开口内。
24.根据权利要求23所述的下游等离子体处理装置,其特征在于,上挡板由陶瓷材料制成。
25.根据权利要求23所述的下游等离子体处理装置,其特征在于,上挡板包括至少一个环形的环部件,具有开口和插入部分,插入部分的尺寸使其可安装在开口内。
26.根据权利要求25所述的下游等离子体处理装置,其特征在于,开口包括环形凹进部分,插入部分包括唇部,唇部可安装在该环形凹进部分。
27.根据权利要求26所述的下游等离子体处理装置,其特征在于,环形凹进部分还包括至少3个销,围绕环形凹进部分等距离间隔,插入部分由至少3个销支持。
28.根据权利要求23所述的下游等离子体处理装置,其特征在于,上挡板包括无孔的中心部分。
29.根据权利要求25所述的下游等离子体处理装置,其特征在于,环形的环部件和插入部分形成小于0.010英寸的间隙。
30.一种防止等离子体加工过程中半径大于4英寸的陶瓷挡板开裂的方法,其中等离子体加工使陶瓷挡板在整个板上具有热温度梯度,方法包括:
陶瓷挡板分为至少两片,其中至少两片挡板形成小于0.010英寸的间隙;和
在等离子体加工过程中,使至少两片陶瓷挡板暴露于等离子体。
31.根据权利要求30所述的方法,其特征在于,至少两片挡板包括至少一个环形的环部件,具有开口和插入部分,插入部分的尺寸使其可以安装在开口内。
32.根据权利要求30所述的方法,其特征在于,至少两片陶瓷挡板暴露于等离子体,该至少两片挡板经受小于陶瓷材料应力的循环应力。
CN2005800133892A 2004-04-30 2005-04-29 等离子体加工系统的多片挡板组件 Expired - Fee Related CN1947216B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/836,516 US20050241767A1 (en) 2004-04-30 2004-04-30 Multi-piece baffle plate assembly for a plasma processing system
US10/836,516 2004-04-30
PCT/US2005/014588 WO2005112072A2 (en) 2004-04-30 2005-04-29 Segmented baffle plate assembly for a plasma processing system

Publications (2)

Publication Number Publication Date
CN1947216A true CN1947216A (zh) 2007-04-11
CN1947216B CN1947216B (zh) 2011-11-16

Family

ID=35185884

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800133892A Expired - Fee Related CN1947216B (zh) 2004-04-30 2005-04-29 等离子体加工系统的多片挡板组件

Country Status (8)

Country Link
US (1) US20050241767A1 (zh)
EP (1) EP1741124B1 (zh)
JP (1) JP5051581B2 (zh)
KR (1) KR101225815B1 (zh)
CN (1) CN1947216B (zh)
DE (1) DE602005025468D1 (zh)
TW (1) TWI366227B (zh)
WO (1) WO2005112072A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102355792A (zh) * 2011-10-19 2012-02-15 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
CN102395243A (zh) * 2011-10-19 2012-03-28 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
US9095038B2 (en) 2011-10-19 2015-07-28 Advanced Micro-Fabrication Equipment, Inc. Asia ICP source design for plasma uniformity and efficiency enhancement
CN106463323A (zh) * 2014-06-02 2017-02-22 应用材料公司 升降销组件
CN110223903A (zh) * 2019-04-22 2019-09-10 江苏鲁汶仪器有限公司 一种均匀对称布置且同步开合的离子源挡板
CN112888130A (zh) * 2021-01-19 2021-06-01 西安交通大学 一种用于果蔬保鲜的低温等离子体发生装置及方法
CN113802113A (zh) * 2020-06-13 2021-12-17 拓荆科技股份有限公司 一种改善反应过程中反射功率稳定性的等离子体发生装置

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
JP5181085B2 (ja) * 2006-06-22 2013-04-10 リバーベル株式会社 処理装置及び処理方法
WO2008009892A1 (en) * 2006-07-20 2008-01-24 Aviza Technology Limited Plasma sources
EP2044609B1 (en) * 2006-07-20 2011-01-12 SPP Process Technology Systems UK Limited Ion deposition apparatus
EP2044608B1 (en) * 2006-07-20 2012-05-02 SPP Process Technology Systems UK Limited Ion sources
US20090020408A1 (en) * 2006-08-11 2009-01-22 Hitachi Kokusai Electric Inc. Substrate Processing Method and Substrate Processing Apparatus
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8419854B2 (en) * 2007-04-17 2013-04-16 Ulvac, Inc. Film-forming apparatus
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
US8834732B2 (en) * 2008-10-02 2014-09-16 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
US8329055B2 (en) * 2008-10-02 2012-12-11 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
KR101110080B1 (ko) 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9129778B2 (en) * 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
KR101130248B1 (ko) * 2011-07-18 2012-03-26 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리장치
TWI596644B (zh) * 2012-03-22 2017-08-21 藍姆研究公司 流體分配元件組件及電漿處理設備
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
KR102067002B1 (ko) * 2013-05-08 2020-01-16 주성엔지니어링(주) 가스 공급 장치
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
KR101980246B1 (ko) * 2014-02-18 2019-05-21 주식회사 원익아이피에스 기판 처리 장치
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US20190131112A1 (en) * 2017-10-30 2019-05-02 Mattson Technology, Inc. Inductively Coupled Plasma Wafer Bevel Strip Apparatus
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US11629409B2 (en) * 2019-05-28 2023-04-18 Applied Materials, Inc. Inline microwave batch degas chamber
KR102225657B1 (ko) * 2019-11-14 2021-03-10 피에스케이 주식회사 배플 유닛, 이를 포함하는 기판 처리 장치
CN113707528B (zh) * 2020-05-22 2023-03-31 江苏鲁汶仪器股份有限公司 一种离子源挡片、离子刻蚀机及其使用方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5498308A (en) * 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3155199B2 (ja) * 1996-04-12 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
JPH10134997A (ja) * 1996-10-24 1998-05-22 Samsung Electron Co Ltd 2次電位による放電を除去したプラズマ処理装置
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102355792A (zh) * 2011-10-19 2012-02-15 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
CN102395243A (zh) * 2011-10-19 2012-03-28 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
US9095038B2 (en) 2011-10-19 2015-07-28 Advanced Micro-Fabrication Equipment, Inc. Asia ICP source design for plasma uniformity and efficiency enhancement
CN102355792B (zh) * 2011-10-19 2016-04-06 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
CN106463323A (zh) * 2014-06-02 2017-02-22 应用材料公司 升降销组件
CN106463323B (zh) * 2014-06-02 2019-01-29 应用材料公司 升降销组件
CN110223903A (zh) * 2019-04-22 2019-09-10 江苏鲁汶仪器有限公司 一种均匀对称布置且同步开合的离子源挡板
CN113802113A (zh) * 2020-06-13 2021-12-17 拓荆科技股份有限公司 一种改善反应过程中反射功率稳定性的等离子体发生装置
CN112888130A (zh) * 2021-01-19 2021-06-01 西安交通大学 一种用于果蔬保鲜的低温等离子体发生装置及方法
CN112888130B (zh) * 2021-01-19 2022-04-19 西安交通大学 一种用于果蔬保鲜的低温等离子体发生装置及方法

Also Published As

Publication number Publication date
JP2007535824A (ja) 2007-12-06
TWI366227B (en) 2012-06-11
US20050241767A1 (en) 2005-11-03
TW200539344A (en) 2005-12-01
EP1741124A2 (en) 2007-01-10
KR20070004137A (ko) 2007-01-05
KR101225815B1 (ko) 2013-01-23
JP5051581B2 (ja) 2012-10-17
EP1741124B1 (en) 2010-12-22
DE602005025468D1 (de) 2011-02-03
WO2005112072A3 (en) 2006-07-27
WO2005112072A2 (en) 2005-11-24
CN1947216B (zh) 2011-11-16

Similar Documents

Publication Publication Date Title
CN1947216B (zh) 等离子体加工系统的多片挡板组件
TWI353630B (zh)
CN1229855C (zh) 等离子体处理装置
TWI391998B (zh) 頂板及利用此頂板之電漿處理裝置
TW559988B (en) Method and apparatus for micro-jet enabled, low-energy ion generation and transport in plasma processing
US7552521B2 (en) Method and apparatus for improved baffle plate
EP1706889B1 (en) Gas distribution plate assembly for plasma reactors
CN100405557C (zh) 等离子体处理装置
US8034183B2 (en) Cleaning method and plasma processing method
CN1236657C (zh) 等离子体处理装置和等离子体处理方法
KR102262330B1 (ko) 반도체 제조용 부품의 재생 방법 및 재생된 반도체 제조용 부품
KR101134328B1 (ko) 유리?탄소를 제거하도록 처리된 반도체 기판 프로세싱장치의 탄화 규소 컴포넌트
KR19990022256A (ko) 등방적으로균형된전계를활용한플라즈마장치
WO2005064998A1 (ja) プラズマ処理装置
CN1460287A (zh) 等离子体处理装置
JP2009206341A (ja) マイクロ波プラズマ処理装置、それに用いる誘電体窓部材および誘電体窓部材の製造方法
KR20020095842A (ko) 반도체 에싱장치
JP5410882B2 (ja) プラズマエッチング処理装置とプラズマエッチング処理方法
US6670616B2 (en) Ultraviolet-light irradiation apparatus
KR20020041449A (ko) 예비 처리된 가스 분배판
KR100632180B1 (ko) 대기압 플라즈마 시스템
KR20210044074A (ko) 정전 척과 이를 구비하는 기판 처리 시스템 및 정전 척의 제조 방법
US20090173715A1 (en) Light source device, substrate treating device, and substrate treating method
JP4199864B2 (ja) プラズマ処理装置
CN116209785A (zh) 通过局部离子增强等离子体(iep)的晶片非均匀性微调

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: LAM RES CORP.

Free format text: FORMER OWNER: ESSELIS TECHNOLOGIES CO.

Effective date: 20131008

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20131008

Address after: American California

Patentee after: Lam Research Corp.

Address before: Massachusetts, USA

Patentee before: Esselis Technologies Co.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111116

Termination date: 20140429