CN1898788A - 用于使用结合或独立的度量改进晶片一致性的工艺控制 - Google Patents

用于使用结合或独立的度量改进晶片一致性的工艺控制 Download PDF

Info

Publication number
CN1898788A
CN1898788A CNA200480038770XA CN200480038770A CN1898788A CN 1898788 A CN1898788 A CN 1898788A CN A200480038770X A CNA200480038770X A CN A200480038770XA CN 200480038770 A CN200480038770 A CN 200480038770A CN 1898788 A CN1898788 A CN 1898788A
Authority
CN
China
Prior art keywords
wafer
mapping
yardstick
processing parameter
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200480038770XA
Other languages
English (en)
Other versions
CN100435307C (zh
Inventor
高里·P·科塔
乔治·卢克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1898788A publication Critical patent/CN1898788A/zh
Application granted granted Critical
Publication of CN100435307C publication Critical patent/CN100435307C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

提供一种方法和装置,用于测量晶片上的多个位置以便控制后续的半导体处理步骤以获得该晶片上更大的尺度一致性。该方法和仪器映射特征在多个位置的尺度以创建尺度映射,把尺度映射转换为处理参数映射,并使用处理参数映射以使后续处理步骤适合于该特定晶片。晶片也可在处理之后加以测量以比较实际结果和目标结果,而且其差异可用来为后续晶片精化从尺度映射到处理参数映射的转换。

Description

用于使用结合或独立的度量改进晶片一致性的工艺控制
技术领域
本发明大体涉及半导体制作领域,以及更特别地涉及用于在晶片上和晶片之间的处理期间利用度量(metrology)改进尺度一致性的方法。
背景技术
半导体制作通常在衬底如单晶硅的晶片上执行。典型地,很多相同器件制作在每一晶片上,因此半导体制作技术的一个目标是保证在晶片上不同位置产生的器件之间的一致性以及在不同晶片上生成的器件之间的一致性。保证晶片上和晶片之间这样的一致性需要处理中的一致性,所以例如层具有一致和可重复的厚度以及特征(feature)具有相符的尺度。遗憾地是,一个处理步骤中不均匀处理所导致的偏差常常经过后续的处理步骤而放大。于是,如果沉积的材料层在晶片上厚度不同,则从该层限定的特定特征可能在该晶片上不同位置形成的不同器件中具有不同尺度。
而且,半导体处理典型地在非常干净的环境中在高真空之下执行。为了使晶片的污染最小化,多个处理室通常以配置为使晶片往返穿梭与不同室之间的机器人装置而群集在一起。用此方法,重复的工艺如沉积层、掩模、蚀刻、剥离、清除以及退火可在晶片上执行而不将晶片暴露于外部环境。
为了在半导体处理期间控制处理步骤,为了破坏性或非破坏性的测量,有时需要从处理群集中移除一个或多个测试晶片。一种通常的破坏性测量技术是横截晶片以使制作的特征得以用度量工具如扫描电子显微镜(SEM)在剖面中来观测。该技术可高度准确地测量高度、宽度、侧壁角、曲率半径、层厚度等等。但是,该技术破坏晶片而且对于检查的每一采样区执行起来非常耗时和昂贵。另一破坏性技术使用聚焦离子束(FIB)研磨以基本上在所需位置把低角度沟道限定到晶片中。与横截相似,该技术使特征得以用SEM在剖面中来查看,但是该剖面是低角度的,因此必须校正某些测量以顾及这些角。虽然FIB使晶片上更多位置得以更快地检查,但还是破坏晶片。
还有几种非破坏性技术。一种这样的技术使用SEM来从上方观测晶片上的特征,而不是在像利用横截面那样的剖面中。虽然该技术不破坏晶片,而且使晶片放回到处理流中,但是它会增加晶片污染,从处理环境移除晶片很耗时,而且只提供有限的测量。原则上,该技术用于测量横向尺度,如线宽度,但不提供关于层厚度、侧壁角等信息。
另一非破坏性技术包括对于在从表面的低角度反射的光的属性进行测量的椭圆偏光(ellipsometry)。椭圆偏光很适合测量对选定波长的光而言至少部分地透明的材料的层厚度,但是与半导体制作中产生的特定特征的尺度相比,该技术测量相当大的区域。因此,椭圆偏光不能用于晶片上的单独特征。
由于可用测量技术的局限,半导体处理通常通过周期性地测量选定晶片上的一个或几个区域并把那些测量应用于所有后续晶片来控制直到执行另一轮测量。例如,蚀刻步骤的时间长度可取决于光阻剂层的厚度。为确定正确的蚀刻时间,测试晶片上的光阻剂层在几个位置测量并平均。该平均值随后用于为若干后续晶片选定蚀刻时间。可理解,芯片上和芯片间的光阻剂层厚度平均值所致的变化可能在使用相符的蚀刻时间时导致过蚀刻和欠蚀刻。进一步可理解,其他处理步骤可具有相似的相关性,使得应用基于单独测量甚或是测量平均值的一致处理条件会产生晶片上和晶片间的不相符结果。
所以,需要的是一种用于非破坏性地测量每一晶片的方法,这些晶片经历半导体处理以定制后续的处理步骤以便改进晶片上和晶片间的一致性。
发明内容
本发明提供一种用于控制晶片处理的方法。该方法包括确定晶片上多个特征的尺度映射、从尺度映射确定处理参数映射、以及根据处理参数映射处理晶片。尺度映射可通过测量多个特征中每一个的相同尺度而确定,而且也可通过根据晶片上的位置来确定多个特征的尺度变化而确定。多个特征可包括多个测试图案,该测试图案可包括测量区域中足够数量的均匀间隔的重复特征。在一些实施例中,均匀间隔的重复特征具有与关注特征相同的尺度,而在其他实施例中,均匀间隔的重复特征具有与关注特征的尺度有已知相关性的尺度。
根据用于控制控制晶片处理的方法,确定尺度映射可包括通过光谱椭圆偏光或基于反射计的CD测量技术来测量多个特征,还可包括根据晶片上的位置来确定多个特征的多于一个尺度的变化。在那些在处理室中处理晶片的实施例中,尺度映射可用与处理室相结合的或独立于处理室的度量工具来确定。同样根据该方法,从尺度映射确定处理参数映射可包括应用关系以将尺度映射转换为处理参数映射。从尺度映射确定处理参数映射还可包括应用映射算法。在一些实施例中,处理参数映射可以为温度可调卡盘或可调气体注射器建立温度或温度范围。
本发明还提供一种用于控制晶片处理的方法。该方法包括确定晶片上多个特征的尺度映射、从尺度映射确定处理参数映射、根据处理参数映射处理晶片以创建晶片上的后处理特征、确定后处理特征的尺度、从后处理特征的尺度确定质量因数、并根据该质量因数确定用于后续晶片的处理参数。确定后处理特征的尺度可包括平均多于一个后处理特征的测量,还可包括确定后处理尺度映射。
根据用于控制晶片处理的方法,确定质量因数可包括比较后处理特征的尺度与用于后处理特征的目标尺度。确定质量因数还可包括确定后处理特征的尺度与用于后处理特征的目标尺度之间的差异。在一些实施例中,确定质量因数可包括确定质量因数映射。
同样根据用于控制晶片处理的方法,为后续晶片确定处理参数可包括为后续晶片确定处理参数映射。进一步,为后续晶片确定处理参数还可包括根据质量因数来修改后续晶片上特征的尺度与处理参数之间的关系以产生修改的关系。在这些实施例中的一些实施例中,为后续晶片确定处理参数可进一步包括确定后续晶片上特征的尺度并将修改的关系应用到特征尺度。同样在这些实施例中的一些实施例中,为后续晶片确定处理参数可包括从质量因数映射确定关系映射。
本发明还包括晶片处理系统。该晶片处理系统包括用于确定晶片上多个特征的尺度映射的装置、用于从尺度映射确定处理参数映射的装置、以及用于根据处理参数映射处理晶片的装置。用于确定尺度映射的装置包括度量工具,该度量工具可包括光谱椭圆偏光或可使用基于反射计的CD测量技术。用于处理晶片的装置可包括半导体处理系统。在一些实施例中,用于确定尺度映射的装置包括与半导体处理系统相结合的度量工具。同样在一些实施例中,半导体处理系统包括具有可调元件如温度可调卡盘或可调气体注射器的处理室。
本发明的另一晶片处理系统包括用于确定晶片上多个特征的尺度映射的装置、用于从尺度映射确定处理参数映射的装置、用于根据处理参数映射处理晶片以创建晶片上的后处理特征的装置、用于确定后处理特征的尺度的装置、用于从后处理特征的尺度确定质量因数的装置、以及用于根据该质量因数确定用于后续晶片的处理参数的装置。在一些实施例中,用于确定后处理特征的尺度的装置可包括用于确定后处理尺度映射的装置。同样,用于确定质量因数的装置可包括用于确定质量因数映射的装置。而且,用于为后续晶片确定处理参数的装置可包括用于为后续晶片确定处理参数映射的装置。
附图说明
图1所示为根据本发明实施例的示例方法。
图2所示为根据本发明实施例产生的示例尺度映射。
图3所示为示例光谱椭圆偏光系统。
图4所示为要测量的示例特征以及该特征的示例测试图案的截面视图。
图5A所示为作为半径函数的图4所示测量的图形表示。
图5B和5C所示为从图5A的图形表示得到的示例处理参数映射。
图6所示为根据本发明另一实施例的另一示例方法。
具体实施方式
本发明提供了用于使用来自晶片上多个位置的测量来控制后续半导体处理步骤以获得晶片上更大尺度一致性的方法和仪器。通过把该方法和仪器应用于后续的晶片,也可获得晶片间的更大尺度一致性。
如应用于独立晶片的该方法在处理步骤前映射晶片且随后根据该映射来定制处理步骤。具体来说,该方法包括确定晶片上多个特征的尺度映射、从尺度映射确定处理参数映射、以及根据处理参数映射来处理晶片。例如,处理步骤指向于蚀刻掩模下的层。该掩模包括具有直径尺度的圆形开口。圆形开口的最终直径是蚀刻时间和晶片温度的已知函数。在此例中,该方法首先确定晶片上多个特征的尺度映射,这里是晶片上各位置的开口直径的映射。接下来,基于表示开口直径在晶片上如何因蚀刻时间和晶片温度而不同的该尺度映射,该方法从尺度映射和单个的优化蚀刻时间来确定处理参数映射。在此例中,处理参数映射是处理参数即晶片温度的映射,该晶片温度可在蚀刻工艺期间施加于晶片。这样,处理参数映射表示了在晶片上的不同位置应当施加的温度。最后,根据处理参数映射来处理晶片,在这种情况下晶片被可控地蚀刻,使得晶片温度如处理参数映射所指定的那样在晶片上不同。可理解给出的例子仅提供用于说明目的,而且如这里别处详细说明的,可测量多种特征以确定尺度映射,而且控制很多处理参数以获得更大的尺度一致性。
如应用于后续晶片的该方法通过创建反馈环来扩展用于独立晶片的方法。在确定尺度和处理参数映射以及处理初始晶片之后,随后测量晶片的后处理特征。该方法接下来比较后处理特征的测量和期望值,并将差异反馈到对如应用到下一晶片的处理步骤进行定制的步骤。在上例中,其中圆形开口的最终直径是晶片温度和蚀刻时间的函数,反馈环使该函数随着所处理的每一后续晶片来微调。
图1所示为用于获得晶片上更大尺度一致性的方法100的示例实施例。方法100包括:步骤110,确定晶片上多个特征的尺度映射;步骤120,从尺度映射确定处理参数映射;以及步骤130,根据处理参数映射来处理晶片。图2所示为示例尺度映射200,它表示在晶片上多个位置测量的特征尺度的变化。例如,该特征可以是光阻剂掩模中的线,而尺度是线宽度。在图2的例中,相同的线在方形图案的9个位置中加以测量以创建线宽度变化的映射,不过可理解尺度映射可包括不同图案以及更多或更少位置。在一些实施例中,测量该晶片上每一器件中的相同特征以形成尺度映射。
尺度映射可通过使用非破坏性的度量工具来确定,该工具可提供对于晶片上多个位置中共同特征的快速测量。例如,如果该特征是膜而且要映射的尺度是膜厚度,则可使用椭圆计来产生晶片上膜厚度的尺度映射。又如,如果该特征是光阻剂线而且要映射的尺度是线宽度,则可使用SEM来映射晶片上多个位置的线宽度。基于反射计的CD测量技术也可用作度量工具。
另一可用于创建尺度映射的非破坏性测量技术是光谱椭圆偏光。示例的光谱椭圆偏光系统是由KLA-Tencor(San Jose,CA)生产的iSpectraCD。iSpectraCD可与群集的半导体处理系统有利地结合,使得晶片不必从处理系统中移除就可测量。可理解本发明的方法在度量系统是独立系统时也可行。
图3所示为使用光谱椭圆偏光。宽带光源302引导在目标晶片306跨越波长范围例如240nm到780nm的极化光束304。目标晶片306向棱镜308反射该光束304,该棱镜散射该光束304并将其投射到探测器阵列310上。探测器阵列310根据波长和极化来分析经反射的光束304以产生测量的数据光谱312。该光谱312随后与模型数据库314做比较以确定最佳拟合316。
光谱椭圆偏光是椭圆偏光技术,因此最适合测量特征的周期阵列和非图案化层,如晶片306上的格栅。可理解,能够测量特征的周期阵列以替代测量该特征的单个出现或有关特征的单个出现。为了能测量格栅,库314对于模型格栅318是预定离线的,该格栅例如具有不同的线间隔、层材料和厚度、高度、宽度、侧壁角、拐角圆化程度等。当建库314时已知的模型格栅318的尺度将建立可通过测量同时确定的尺度。同样,不同模型格栅318的数量及其可变性将确定可通过测量获得的准确度和精确度。
可理解光谱椭圆偏光检查可能显著大于关注特征的测量区域,例如在一些实施例中测量区域为50μm×50μm。如这里别处所述,可测量周期阵列或图案以代替特征的单个出现。理想地,该图案与光谱椭圆计的测量区域一样大或大于它。例如在掩模层中光阻剂的线中有时能够发现均匀间隔的重复特征的合适图案。当合适图案不是特定器件制作工艺所固有的时候,具有足够数量均匀间隔的重复特征的测试图案可添加在晶片上的已知位置处。理想地,测试图案中的特征是以与关注特征相同的方式和在与之相同的条件下产生,使得测试图案中的特征将与关注特征具有相同尺度。但是,关注特征与测试图案的特征不必相同,只要相关性是预定的,使得测试图案上的测量可产生关于关注特征的有意义的数据。
例如,为了通过对层堆叠402的上光阻剂线400的光谱椭圆偏光来创建尺度映射,如图4的截面图中所示,具有一系列均匀间隔的光阻剂线406的测试图案404可制作于晶片周围的不同位置处。理想地,光阻剂线406与光阻剂线400相同。可理解,光阻剂线406之间的间隔应足以使得光阻剂线406不互相干预。换言之,如果光阻剂线406在一起间隔太近,则它们可能不具有目标光阻剂线400的预定尺度而且可代之以具有例如不同侧壁角和宽度。
注意光谱椭圆偏光能产生特征的多于一个尺度。于是,测量有多少尺度,在晶片上各位置处的光谱椭圆偏光测量就能够为多少尺度产生尺度映射。进一步,多于一个尺度可合并在单个尺度映射中。例如,尺度映射可以是测量尺度与目标尺度之差或两个或多个测量尺度加权平均的导出尺度的映射。
再参考图1,在步骤120从尺度映射确定处理参数映射。处理参数映射表示在处理步骤中一个或多个处理参数任何应用到晶片。最简单的处理参数映射把一致的处理参数应用到整个晶片。例如,共同控制的工艺参数是处理的持续时间,而且该持续时间通常对于整个晶片是相同的。持续时间在整个晶片上一致时对于处理持续时间的处理参数映射将是单个值,如若干秒,而且如果表示为与图2的尺度映射200类似的形式,则将展示具有一致值的晶片。对之能够应用单个值的其他处理参数包括压力、尺度、偏置电压、射频(RF)功率、气流速率以及气流比率。包含单个值的处理参数映射可从尺度映射来确定,例如通过平均该尺度映射中的值。于是,对于晶片的平均尺度用来根据将测量尺度与适当的工艺参数相联系的已知关系或数学函数来设置工艺参数。
也可确定更复杂的处理参数映射。更复杂的处理参数映射可遵循处理系统的物理限制。例如,通过使用加热器能够使晶片温度在晶片上不同。加热器可从上方将热引导到晶片上或者可布置在晶片座或“卡盘”中。简单的温度可调卡盘可快速改变晶片温度,使得晶片周围比中心热或冷。其他处理参数如偏置电压和离子流量可在晶片上类似地加以控制。于是,在系统配有温度可调卡盘时对于晶片温度的处理参数映射可以是中心到周围的范围的函数。该函数可以是线性的或较高阶。
参考图2的示例尺度映射200,可理解所示的测量线宽度在中心(ID)最大且向周围(OD)较小。由于尺度映射200中的8个偏心点驻留于两个特定半径的任一个,每组能够加以平均以产生对于每一半径的平均值,如图5A所示。两个平均值和中心(ID)值可易于与线性或较高阶函数拟合以产生分别如图5B和5C所示的处理参数映射502和504。在处理参数映射502和504中,示例处理参数是温度,而且在适当的晶片温度和测量线宽度之间有成比例关系。但是可理解,可用任何关系把尺度映射转换为处理参数映射。
也可根据对于处理参数的控制方案的复杂度来创建更复杂的处理参数映射。例如,对于用嵌入式加热元件的方形或六边形格来控制晶片温度的温度可调卡盘,合适的处理参数映射能够对每一加热元件具有独立温度值。再参考图2的示例尺度映射200,将理解到,如果组成尺度映射200的这些测量落在方形格上,如图所示,而且处理参数映射必须需要六边形格,则把尺度映射200转换为合适的处理参数映射将需要更复杂的映射算法。这样的算法可容易地由本领域技术人员创建。可类似地创建对于其他可调元件的合适处理参数映射,该可调元件比如是可空间改变气流速率的可调气体注射器。
可理解,可从单个尺度映射产生多于一个处理参数映射。例如,尺度映射可用于创建对于温度和偏置电压的处理参数映射。同样,多于一个尺度映射可用于通过一个或多个处理参数映射来确定一个或多个处理参数。例如,特征高度的尺度映射和特征侧壁角的另一尺度映射在确定处理参数映射时可结合在一起。
再参考图1,在步骤130中根据处理参数映射来处理晶片。应用于晶片的处理步骤130可以是例如沉积、掩模、蚀刻、剥离、清除或退火工艺。处理步骤130的至少一个处理参数是通过步骤120中产生的处理参数映射来为晶片确定的。可理解,处理参数映射可在步骤130中应用于多于一个半导体制造工艺。例如,同一晶片上的两个相继蚀刻工艺可使用相同的处理参数映射来控制晶片温度。如这里别处所述,多于一个处理参数映射可应用于处理步骤130以同时控制多于一个处理参数。
图6所示为用于控制晶片处理以获得相继晶片间更大尺度一致性的方法600的示例性实施例。方法600开始于:步骤610,确定晶片上多个特征的尺度映射;步骤620,从尺度映射确定处理参数映射;以及步骤630,根据处理参数映射来处理晶片以在晶片上创建后处理特征。接下来,方法600具有:步骤640,确定后处理特征的尺度;以及步骤650,从后处理特征的尺度确定品质因数。最后,方法600包括步骤660,该步骤根据该品质因数来确定对于后续晶片的处理参数。
在步骤610确定晶片上多个特征的尺度映射与方法100的步骤110基本相同,而从尺度映射确定处理参数映射的步骤620与方法100的步骤120基本相同。同样,根据处理参数映射处理晶片以在晶片上创建后处理特征的步骤630与方法100的步骤130非常相似,但是步骤630还需要在晶片上创建后处理特征。后处理特征可以是例如新沉积层、光阻剂掩模中的线或通过蚀刻如晶体管栅堆叠而产生的特征。在一些实施例中,后处理特征是已经通过步骤630中的晶片处理而修改过的测试图案404。可理解,为了继续方法600,虽然只需创建一个后处理特征,但是在步骤630中可产生多于一个后处理特征。
在步骤640中确定后处理特征的尺度。后处理特征的尺度可用任何合适的度量系统来确定,包括与步骤610中用来创建尺度映射的度量系统相同的度量系统。晶片上多于一个后处理特征可被测量和平均,或者可创建后处理尺度映射以展示后处理特征的尺度如何在晶片上改变。
在步骤650中从后处理特征的尺度确定品质因数。质量因数是基于对于后处理特征的测量尺度与对于该特征的一些目标尺度之间的比较。品质因数可以是测量尺度与目标值之差的比率,正值表示大于目标的测量尺度且负值表示小于目标的测量尺度。在步骤640产生后处理特征的尺度映射的那些实施例中,步骤650可同样产生质量因数的映射以展示质量因数在晶片上如何变化。
在步骤660中根据步骤650中确定的质量因数来为后续晶片确定处理参数。如关于用于从尺度映射确定处理参数映射的步骤120所述的,处理参数映射根据测量尺度和后续处理步骤的处理参数之间的一些已知关系来确定。例如当关系为线性时,该关系能够以Y=mX+b形式表示,其中Y是处理参数且X是测量尺度。以该线性关系为例,在步骤660中质量因数可用于修改系数m和偏移b中的任一个或二者。随后通过测量后续晶片并应用具有经修改的系数的已知关系,能够为后续晶片确定处理参数。
可理解在步骤660之后,一旦尺度和处理参数间的关系已经根据步骤650中确定的质量因数来修改,不用测量后续晶片就可后处理续晶片。取而代之,后续晶片可假设为与经过步骤610到650的第一晶片相同。在一些实施例中,假设步骤610中为第一晶片确定的尺度映射应用于后续晶片,而且使用步骤660中确定的新关系从该尺度映射为后续晶片准备处理参数映射。
可替换地,后续晶片可在单个位置测量如中心处加以测量,即使是整个尺度映射是为第一晶片而准备的。在一些实施例中,步骤610中为第一晶片确定的尺度映射应用于每一后续晶片,但根据单个测量加以缩放。对于每一后续晶片的处理参数映射随后根据缩放的尺度映射和步骤660中确定的新关系来确定。在一些其他实施例中,如方法100的每一步骤110,独立尺度映射为每一后续晶片而准备。每一这种尺度映射根据步骤660中确定的新关系转换到处理参数映射中。
在一些实施例中,尺度和处理参数间的关系可变成晶片上的位置的函数。在这些实施例中,对晶片上的多个后处理特征进行测量。对于每一这种后处理特征,确定质量因数以创建可发展到关系映射中的质量因数映射。此后,对于后续晶片的尺度映射可根据该关系映射转换到处理参数映射中。在该系统中,质量因数映射表示了后处理特征根据晶片上的位置而多么接近于目标值。关系映射表示了测量尺度和处理参数之间的关系应当如何根据位置而改变以获得下一晶片上最大的一致性。在一些实施例中,每一晶片经历方法600,使得每一晶片从前一晶片获益。
也可理解在一些实施例中,该方法可开始于步骤640。在这些实施例中,处理初始晶片以创建后处理特征,随后在步骤640中确定后处理特征的尺度。此后,在步骤650中从后处理特征的尺度确定质量因数,且随后在步骤660中根据步骤650中确定的质量因数来为后续晶片确定处理参数。该方法随后可重复用于后续晶片。
在上述说明中,本发明参考特定实施例加以说明,但是本领域技术人员将认识到本发明不限于此。上述发明的各种特性和方面可独立地或结合地使用。另外,不背离本说明书的广泛精神和范围,本发明能够在超出此处所述的任意数量的环境和应用中加以利用。因此,说明书和附图应当视为说明性而非限制性的。

Claims (20)

1.一种用于控制晶片处理的方法,包括:
确定晶片上多个特征的尺度映射;
从尺度映射确定处理参数映射;以及
根据处理参数映射处理晶片。
2.权利要求1的方法,其中确定尺度映射包括根据晶片上的位置确定所述多个特征的尺度变化。
3.权利要求1的方法,其中所述多个特征包括多个测试图案。
4.权利要求1的方法,其中确定尺度映射包括通过光谱椭圆偏光法测量所述多个特征。
5.权利要求1的方法,其中确定尺度映射包括用基于反射计的CD测量技术测量所述多个特征。
6.权利要求1的方法,其中在处理室中处理晶片,而且用与处理室相结合的度量工具来执行确定尺度映射。
7.权利要求1的方法,其中在处理室中处理晶片,而且用独立于处理室的度量工具来执行确定尺度映射。
8.权利要求1的方法,其中从尺度映射确定处理参数映射包括应用关系以将尺度映射转换为处理参数映射。
9.权利要求1的方法,其中从尺度映射确定处理参数映射包括应用映射算法。
10.一种用于控制晶片处理的方法,包括:
确定晶片上多个特征的尺度映射;
从尺度映射确定处理参数映射;
根据处理参数映射处理晶片以在晶片上创建后处理特征;
确定后处理特征的尺度;
从后处理特征的尺度确定质量因数;以及
根据该质量因数为后续晶片确定处理参数。
11.权利要求10的方法,其中确定后处理特征的尺度包括对多于一个后处理特征的测量进行平均。
12.权利要求10的方法,其中为后续晶片确定处理参数包括根据质量因数修改后续晶片上特征的尺度与处理参数之间的关系以产生修改的关系。
13.权利要求10的方法,其中为后续晶片确定处理参数包括为后续晶片确定处理参数映射。
14.一种晶片处理系统,包括:
用于确定晶片上多个特征的尺度映射的装置;
用于从尺度映射确定处理参数映射的装置;以及
用于根据处理参数映射处理晶片的装置。
15.权利要求14的晶片处理系统,其中用于处理晶片的装置包括半导体处理系统。
16.权利要求14的晶片处理系统,其中用于确定尺度映射的装置包括与半导体处理系统相结合的度量工具。
17.一种晶片处理系统,包括:
用于确定晶片上多个特征的尺度映射的装置;
用于从尺度映射确定处理参数映射的装置;
用于根据处理参数映射处理晶片以在晶片上创建后处理特征的装置;
用于确定后处理特征的尺度的装置;
用于从后处理特征的尺度确定质量因数的装置;以及
用于根据该质量因数为后续晶片确定处理参数的装置。
18.权利要求17的晶片处理系统,其中用于确定后处理特征的尺度的装置包括用于确定后处理尺度映射的装置。
19.权利要求17的晶片处理系统,其中用于确定质量因数的装置包括用于确定质量因数映射的装置。
20.权利要求17的晶片处理系统,其中用于为后续晶片确定处理参数的装置包括用于为后续晶片确定处理参数映射的装置。
CNB200480038770XA 2003-12-24 2004-12-17 用于使用结合或独立的度量改进晶片一致性的工艺控制 Active CN100435307C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/746,969 2003-12-24
US10/746,969 US7018855B2 (en) 2003-12-24 2003-12-24 Process controls for improved wafer uniformity using integrated or standalone metrology

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2008101488943A Division CN101369551B (zh) 2003-12-24 2004-12-17 用于使用结合或独立的度量改进晶片一致性的工艺控制

Publications (2)

Publication Number Publication Date
CN1898788A true CN1898788A (zh) 2007-01-17
CN100435307C CN100435307C (zh) 2008-11-19

Family

ID=34710759

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008101488943A Active CN101369551B (zh) 2003-12-24 2004-12-17 用于使用结合或独立的度量改进晶片一致性的工艺控制
CNB200480038770XA Active CN100435307C (zh) 2003-12-24 2004-12-17 用于使用结合或独立的度量改进晶片一致性的工艺控制

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2008101488943A Active CN101369551B (zh) 2003-12-24 2004-12-17 用于使用结合或独立的度量改进晶片一致性的工艺控制

Country Status (8)

Country Link
US (1) US7018855B2 (zh)
EP (1) EP1697986B1 (zh)
JP (2) JP2007517400A (zh)
KR (1) KR100969636B1 (zh)
CN (2) CN101369551B (zh)
MY (1) MY131237A (zh)
TW (1) TWI326105B (zh)
WO (1) WO2005067009A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107683475A (zh) * 2015-06-22 2018-02-09 科磊股份有限公司 使用图案化晶片几何测量的过程引发的非对称检测、量化及控制

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7049157B2 (en) * 2004-03-11 2006-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Calibration standard for critical dimension verification of sub-tenth micron integrated circuit technology
JP4444090B2 (ja) 2004-12-13 2010-03-31 東京エレクトロン株式会社 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
KR100909474B1 (ko) * 2005-08-10 2009-07-28 삼성전자주식회사 웨이퍼 결함지수를 사용하여 국부성 불량 모드를 갖는결함성 반도체 웨이퍼의 검출 방법들 및 이에 사용되는장비들
JP4874606B2 (ja) * 2005-09-12 2012-02-15 株式会社東芝 用力設備設計装置、自動用力設備設計方法及び用力設備設計プログラム
US7534627B2 (en) * 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
US7444198B2 (en) * 2006-12-15 2008-10-28 Applied Materials, Inc. Determining physical property of substrate
US20080237811A1 (en) * 2007-03-30 2008-10-02 Rohit Pal Method for preserving processing history on a wafer
US7952708B2 (en) 2007-04-02 2011-05-31 Applied Materials, Inc. High throughput measurement system
TWI416096B (zh) * 2007-07-11 2013-11-21 Nova Measuring Instr Ltd 用於監控圖案化結構的性質之方法及系統
JP5174098B2 (ja) * 2010-08-09 2013-04-03 東京エレクトロン株式会社 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
US8906710B2 (en) 2011-12-23 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Monitor test key of epi profile
US8852964B2 (en) * 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
WO2016037003A1 (en) 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
WO2018031193A1 (en) * 2016-08-12 2018-02-15 Applied Materials, Inc. Critical methodology in vacuum chambers to determine gap and leveling between wafer and hardware components
JP6784127B2 (ja) * 2016-10-04 2020-11-11 株式会社村田製作所 半導体デバイスの製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4571685A (en) 1982-06-23 1986-02-18 Nec Corporation Production system for manufacturing semiconductor devices
US5795493A (en) * 1995-05-01 1998-08-18 Motorola, Inc. Laser assisted plasma chemical etching method
US5943550A (en) 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US6015718A (en) * 1997-08-14 2000-01-18 Cypress Semiconductor Corporation Indentification of the composition of particles in a process chamber
KR20010024608A (ko) * 1998-09-14 2001-03-26 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의 제조장치 및 그 제조방법
US6706541B1 (en) * 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US20030074098A1 (en) 2001-09-18 2003-04-17 Cheung Robin W. Integrated equipment set for forming an interconnect on a substrate
JP2003203841A (ja) * 2002-01-07 2003-07-18 Mitsubishi Electric Corp 評価方法、製造条件補正方法及び半導体装置の製造方法
US6858361B2 (en) 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US7225047B2 (en) 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US6783904B2 (en) * 2002-05-17 2004-08-31 Freescale Semiconductor, Inc. Lithography correction method and device
US6862491B2 (en) * 2002-05-22 2005-03-01 Applied Materials Israel, Ltd. System and method for process variation monitor
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107683475A (zh) * 2015-06-22 2018-02-09 科磊股份有限公司 使用图案化晶片几何测量的过程引发的非对称检测、量化及控制
CN107683475B (zh) * 2015-06-22 2019-05-21 科磊股份有限公司 使用图案化晶片几何测量的过程引发的非对称检测、量化及控制

Also Published As

Publication number Publication date
KR20060122876A (ko) 2006-11-30
TWI326105B (en) 2010-06-11
US20050148104A1 (en) 2005-07-07
KR100969636B1 (ko) 2010-07-14
WO2005067009A3 (en) 2005-08-18
CN101369551B (zh) 2010-07-14
CN101369551A (zh) 2009-02-18
CN100435307C (zh) 2008-11-19
JP2007517400A (ja) 2007-06-28
US7018855B2 (en) 2006-03-28
JP2011228722A (ja) 2011-11-10
TW200527489A (en) 2005-08-16
JP5621086B2 (ja) 2014-11-05
EP1697986A2 (en) 2006-09-06
MY131237A (en) 2007-07-31
EP1697986B1 (en) 2020-07-08
WO2005067009A2 (en) 2005-07-21

Similar Documents

Publication Publication Date Title
CN100435307C (zh) 用于使用结合或独立的度量改进晶片一致性的工艺控制
KR102274476B1 (ko) 다중 처리 단계로부터의 정보로 반도체 계측
CN101542280B (zh) 用多元分析对来自半导体处理系统的计量数据进行变形
US10067074B2 (en) Metrology methods, metrology apparatus and device manufacturing method
CN110603435A (zh) 利用x射线散射测量术对深层结构进行工艺监测
US5900633A (en) Spectrometric method for analysis of film thickness and composition on a patterned sample
JP5162778B2 (ja) プロセスパラメータを分散に関連づける分散関数を用いた構造のプロファイルパラメータの決定
US20140297211A1 (en) Statistical model-based metrology
US11537837B2 (en) Automated accuracy-oriented model optimization system for critical dimension metrology
US8440475B2 (en) Alignment calculation
Harbeke et al. Assessment of the surface quality of SIMOX wafers by UV reflectance
US9347872B1 (en) Meta-model based measurement refinement
CN115485546A (zh) 无专用质量控制晶片的半导体计量工具的群匹配
WO2023158661A2 (en) Estimating in-die overlay with tool induced shift correction
US8956886B2 (en) Embedded test structure for trimming process control
TWI791269B (zh) 多尺度物理蝕刻模型化及其方法
Pickering Spectroscopic ellipsometry for monitoring and control of surfaces, thin layers and interfaces
Patrick et al. Modeling and analysis of scatterometry signatures for optical critical dimension reference material applications
Carstens et al. Approaches to an Adaptive Ion-Beam Sputtering Process
Zhao et al. Fabrication of Nanoscale Linewidth Standards Based on Multilayer Thin Film Deposition Technique
JP2005308755A (ja) 半導体装置の製造方法および半導体装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant