TWI326105B - Process controls for improved wafer uniformity using integrated or standalone metrology - Google Patents

Process controls for improved wafer uniformity using integrated or standalone metrology Download PDF

Info

Publication number
TWI326105B
TWI326105B TW093140140A TW93140140A TWI326105B TW I326105 B TWI326105 B TW I326105B TW 093140140 A TW093140140 A TW 093140140A TW 93140140 A TW93140140 A TW 93140140A TW I326105 B TWI326105 B TW I326105B
Authority
TW
Taiwan
Prior art keywords
wafer
map
determining
size
post
Prior art date
Application number
TW093140140A
Other languages
English (en)
Other versions
TW200527489A (en
Inventor
Gowri Kota
Jorge Luque
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200527489A publication Critical patent/TW200527489A/zh
Application granted granted Critical
Publication of TWI326105B publication Critical patent/TWI326105B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

1326105 九、發明說明·· 【發明所屬之技術領域】 本發明一般是有關於半導體製造的領域,且更特别是有 關於晶圓上與晶圓之間的製程期間,使用量測學以改良尺 寸均勻度之方法。 【先前技術】 • v製造通常是在基板(如單晶矽的晶圓)上進行。通 常,每個晶圓可製造出許多相同的裝置,因此,半導體製 造技術的目標之-就是保證形成於晶圓上的不同位置之裝 置之間的均句纟,以及不同晶圓上所產生的裝置之間的均 句度。保證晶圓上及晶圓之間這樣的均句度需要製程的均 句性’以至於例如具有均句及可重複的厚度及特徵之層的 尺寸會-致。不幸地是’在某—製程步驟中,非均句製程 所產生的偏差在經過接下來的製程步驟時通常會變大。因 此番若晶圓上的沈積材料層之厚度變化,則在晶圓 位置所形成的不同襞置中, 同的尺寸。 層所U的指㈣徵會有不 · '疋社非予乾淨的環境之高度真2 進仃。為了使晶圓的污染減至最小, 盥财要m 夕個製程室通常$ 與配置用以短程運送不同室之間 隹曰動機械構件3 木在一起。以此方式,如沈積層、遮罩、 土 钱刻、剝離、、,Ί 洗、以及退火之反覆的製程可在 琦之ΤΓ二—an 賞使曰曰®曝露於外在if 境之下,而在晶圓上進行。 ^ 為了控制半導體製程期間的製程步 t時需從製程琴 98284.doc 1326105 組中’去除用於破壞性或非破壞性量測的一個或多個測試 晶圓。一種平常的破壞性量測技術是將晶圓變為橫截面, 而月&以如掃描電子顯微鏡(scanning electr〇n microscope,簡 稱SEM)的量測學工具,觀察到在輪廓中的製造特徵。此技 術可使高度、寬度、側壁角度、曲率半徑、層厚度等等的 量測非常精確。然而,進行檢查每個樣本區時,此技術會 破壞晶圓’以及非常耗時,且非常昂貴。另一種破壞性技 術係使用聚焦離子束(focused i〇n-beam,簡稱FIB)銑 (milling)’而將進入晶圓的低角度渠溝基本地定義於想要位 置。如同橫截面,此技術能在SEM的輪廓中觀察到特徵, 然而’此輪廓為低角度的位置,因此必須修正某些量測, 以產生此角度。雖然FIB能更快速地檢查晶圓上的較多位 置’但是仍會破壞晶圓。 也存在报多非破壞性技術。一種這樣的技術係使用 SEM ’而從上述中(而不是以如使用橫截面的輪廓),來觀察 晶圓上的特徵。雖然此技術不會破壞晶圓,並且能使晶圓 回到製程流程’但是會使晶圓污染增加、從製程環境中去 除晶圓會报耗時、以及只能提供有限的量測。此技術主要 是用來量測橫向尺寸(如線寬),但不會提供有關層厚度、側 壁角度、以及類似之資訊。 另一種非破壞性技術係涉及橢圓對稱(ellipsometry),其 係用以量測從表面的低角度位置所反射的光線之性質。擴 圓對稱很適合用於量測選擇到的波長之光線可至少部分穿 透的材料之層厚度,然而’與半導體製造中所產生的指定 98284.doc 1326105 特徵之尺寸相較,此 技術a1測到相當大的區域。因此, 橢圓對細不能用來量測晶圓的上的個別特徵。 用量測技術的限制,所以半導體製程通常 期=地置測選擇到的晶圓上之一個或一些區域來控制,並 2會:那些量測用於所有接下來的晶圓,直到進行另一回 /]如例,蝕刻步驟的時間長短會取決於光阻層 的厚度。為了決定正確的钱刻時間,會量測一測試晶圓上 之光阻層的多個位置,並且予以平均。然後,此平均會用 來選擇-些接下來的晶圓之钱刻時間。將會了解到的是, 當使用一致的敍刻時間時,晶圓上及晶圓之間的平均光阻 厚度之變化會導致過度姓刻,以及餘刻不足。將會進-步 了解到的是,其他的製程步驟會具有類似的附屬物,以至 於基於單-量測,或甚至是量測的平均而使用均句製程條 件’會使晶圓上及晶圓之間產生不一致的結果。 〜因此m將進行半導體製㈣每個晶圓進行非破 壞性量測’以符合接下來的製程步驟,進而改良晶圓上及 晶圓之間的均勻度之方法。 【發明内容】 本發明係提出一種控制晶圓製程之方法。此方法包括決 定晶圓上的複數種特徵之一尺寸圖,從此尺寸圖中決定出 一製程參數圖,以及根據此製程參數圖來處理該晶圓。可 藉由量測各該等複數種特徵之相同尺寸而決定該尺寸圖, 並且也可藉由決定該等複數種特徵之尺寸隨著晶圓上的位 置之變化而變化來決定該尺寸圖。該等複數種特徵可包括 98284.doc 1326105 複數個測試圖案,其包括量測區域中之足夠數目的均勻間 隔重複特徵《在某些具體實施例中,該等均勻間隔重複特 徵具有與一感興趣的特徵相同之尺寸,而在其他具體實施 例中,該等均勾間隔重複特徵之尺寸會與—感興趣的特徵 之尺寸成一種已知的關聯性。 根據此控制晶圓製程的方法,決定此尺寸圖可包括藉由 橢圓偏光量測術(spectroscopic ellips〇metry),或反射計型 CD量測技術來量測該等複數種特徵,並且還可包括決定該 等複數種特徵之多於-個的尺寸隨著晶圓上的位置成函^ 變化。在晶圓係在製程室中進行處理之該些具體實施例 中,可使用會與製程室整合在一起,或者是與製程室分離 而獨立的量測工具而決定出此尺寸圖。同時根據此方法, 從此尺寸圖中決定出此製程參數圖可包括使用一關係式, 而將此尺寸圖轉換成此製程參數圖。從此尺寸圖中決定出 此製程參數圖也可包括使用映像演算法。在某些具體實施 例令,製程參數圖會建立一用於溫度可調式夹頭或可調式 氣體注入器之一溫度或溫度範圍。 本發明還提出-種控制晶圓製程之方法。此方法包括決 定晶圓上的複數種特徵之一尺寸圖、從此尺寸圖中決定出 -製程參數圖;根據此製程參數圖來處理此晶圓,而 此晶圓上的後製程特徵;決定後製程特徵的尺寸; 程特徵的尺寸中決定出一優值;以及根據該優值決定出用 於接下來晶圓之製程參數。決定後製程特徵的尺寸會包括 將多於-個的後製程特徵之量測結果平均,並且也會包括 98284.doc 1326105 決定出一後製程尺寸圖。 根據此控制晶圓製程的方法,決定出優值會包括將後製 程特徵的尺寸與後製程特徵的目標尺寸進行比較。決定出 優值也會包括決定出後製程特徵的尺寸與後製程特徵的目 · 標尺寸之間的差異。在某些具體實施例中,決定出優值會 包括決定出優值圖。 再者’根據此控制晶圓製程的方法,決定出用於接下來 晶圓之製程參數包括決定出用於接下來晶圓之製程參數 0 圖。進一步而言,決定出用於接下來晶圓之製程參數會包 括根據優值,來修改製程參數與接下來晶圓上的特徵之尺 寸之間的關係,而產生一修改過的關係。在這些具體實施 例的某些之中,決定用於接下來晶圓之製程參數,會進一 步包括:決定接下來晶圓上的特徵之尺寸,並且會將修改 過的關係應用於此特徵的尺寸。再者,在這些具體實施例 的某些之中’決定用於接下來晶圓之製程參數會包括從優 值圖中決定關係圖。 本發明還包括晶圓製程系統。此晶圓製程系統包括:用 於決定晶圓上的複數特徵之一尺寸圖之構件;用於從該尺 寸圖中決疋一製程參數圖之構件;以及用於根據此製程參 數圖來處理此晶圓之構件。用於決定此尺寸圖之構件包括 -一篁測工具,可包含橢圓偏光量測術,或可使用以反射計 為基礎的CD量測技術》用於處理此晶圓的構件可包括一半 導體製程系統。在這些具體實施例的某些之中,用於決定 此尺寸圖之構件包括一與半導體製程系統整合在一起的量 98284.doc • 10- 1326105 測工具。再者,在這些具體實施例的某些之中,半導體製 程系統。括.具有如溫度可調式夾頭或可調式氣體注入器 之可調式元件的製程室。 . 本發明的另一晶圓製程系統包括:用於決定晶圓上的複· 數特徵之-尺寸圖之構件,·用於從此尺寸圖中決定一製程 參數圖之構件;用於根據此製程參數圖來處理晶圓,而產 生曰曰圓上的後製程特徵之構件;用於決定後製程特徵的尺 寸之構件,用以從後製程特徵的尺寸中決定出優值之構馨 件;以及用以根據優值決定用於接下來晶圓之製程參數之 構件。在這些具體實施例的某些之中,用以決定後製程特 徵的尺寸之構件會包括用以決定後製程尺寸圖之構件。再 者,用以決定優值之構件會包括用以決定優值圖之構件。 此外,用以決;t用於接下來晶圓之製程參數之構件會包括* 用以決定用於接下來晶圓之製程參數圖之構件。 . 【實施方式】 本發明係提出一種使用對晶圓上的多個位置之量測結果鲁 來控制接下來的半導體製程步驟,以達成晶圓上之較大尺 寸的均勻度之方法及裝置。藉由將此方法及裝置用於相繼 的晶圓,晶圓之間也可達成較大尺寸的均勻度。 在製程步驟之前,此方法(如用於單獨晶圓)會映射晶. 圓,然後,此方法會符合根據此圖的製程步驟。更特定言 之,此方法包括決定晶圓上的複數種特徵之尺寸圖;從尺 寸圖中決定出製程參數圖;以及根據製程參數圖來處理晶 圓。如一例,製程步驟會指示蝕刻位於遮罩之下的一層。 98284.doc • 11 - 1326105 遮罩具有—直徑尺寸的圓形開口。圓形開口的最終直徑為 飯刻時間與晶圓溫度之已知函數。在此例中,此方法首先 會決定出晶圓上的複數種特徵之尺寸圖(在此為晶圓上的 位置之開口直徑的圖)。接著,基於顯示晶圓上的開口直徑 如何隨蝕刻時間及晶圓溫度而變化之尺寸圖,此方法會從 尺寸圖中決定出製程參數圖,以及單獨最佳化的蝕刻時 間。在此例中’製程參數圖為製程參數、晶圓溫度的圖, 其在蝕刻製程期間可用於晶圓。因此,製程參數圖係顯示 在晶圓上的不同位置應該使用的溫度。最後,晶圓會根據 製程參數圖來進行處理,在此例中,晶圓能以可控制的方 式進行蝕刻,以至於晶圓上的晶圓溫度係如製程參數圖所 指定的而變化。將要了解到的是,所給予的的例子係用以 作為例不的目的’更詳細的細節在此會於他處進行說明, 可莖測許多型式的特徵,以決定尺寸圖,並且許多製程參 數可受到控制’以達成較大尺寸的均勻度。 此方法(如用於相繼的晶圓)會藉由產生回授迴路,而使 用於個別晶圓的方法擴展。在決定出尺寸及製程參數的圖 及處理初始晶圓之後,然後會量測晶圓的後處理特徵。此 方法接下來會將後處理特徵的量測結果與預期值進行比 較,並且會將差異回授到符合如用於下個晶圓之製程步驟 的步驟。在以上的例子中(其中圓形開口的最終直徑為晶圓 溫度及蝕刻時間的函數),回授迴路使此函數能以欲處理的 每個相繼晶圓來進行微調。 圖1係繪示用以達成晶圓上的較大尺寸均勻度之方法 98284.doc •12· 1326105 的一示範性具體實施例。方法_包括決定晶圓上的複數種 特徵之尺寸圖之步驟110、從尺寸圖中決定出製程參數圖之 步驟120 α及根據製程參數圖來處理晶圓之步驟圖2 係顯示代表於晶圓上的複數個位置所量測的特徵之尺寸變 化之-不範性尺寸圖扇β例如,特徵可以是光阻遮罩中的 線’而尺寸為線的寬度。在圖2的例子中,相同的線會在方 形圖案中的9個位置進行量測,而產生線寬變化的圖,然而 將會了解到的;I: ’尺寸圖可包含不同的圖案及較多或較少 的位置。在某些具體實施例中,晶圓上的每個裝置之相同 特徵會進行量測以形成尺寸圖。 可經由使用能提供晶圓上的多個位置之共同特徵的快速 量測之非破壞性量測工具而決定出尺寸圖。例如,若特徵 為缚膜且欲映射的尺寸為薄膜的厚度,則橢圓偏光計可用 來產生晶圓上的薄膜厚度之尺寸圖。如另一例,若特徵為 光阻線且欲映射的尺寸為線的寬度,則SEM可用來產生晶 圓上的多個位置處之線寬的圖。反射計型CD量測技術也可 用來當作該量測工具。 “可用來產生尺寸圖的另一種非破壞性量測技術為橢圓偏 光量測術。一種範例性橢圓偏光量測系統為KLA-Tencor (加 州,聖荷西)所製造出來的iSpectraCD。iSpectraCD可有助 於與群集的半導體製程系統整合,以至於晶圓可在不必從 製程系統中移除的情形下來進行量測。將會了解到的是, 本發明的方法也是可實行的,其中該量測系統為一獨立系 統。 98284.doc -13- 1326105 圖3係綠示憜圓偏光量測的使用。寬頻光源302會將展開 一波長fe圍(例如是24〇 nm到78〇 nm)之極化光的光束3〇4指 向目標晶圓306。晶圓306會使光束304朝向稜鏡308反射, 其會使光東304擴展且會使其投影到偵測器陣列31 〇上。偵 測器陣列310會將反射的光束3〇4分解為波長及極化的函 數,而產生量測資料頻譜312。然後,此頻譜312會與模型 資料庫314進行比較,而決定出最佳適合316。 橢圓偏光量測為橢圓對稱技術,因此最適合用於量測未 圖案化的層及週期性陣列之特徵,如晶圓3〇6的光柵。將會 了解到的是,在量測一單獨出現的特徵或單獨出現的一相 關特徵之場所中,可量測週期性陣列的特徵。為了能量測 光柵,對於模型光柵3 18 (例如具有不同線距、層材料及厚 度、高度、寬度、側壁角度、圓角的角度等等)而言,資料 庫3M為預定離線。在建造資料庫314時為已知的模型光拇 318之尺寸將會建立可同時由量測來決定之尺寸。同樣地, 這些不同的模型光柵318及其變化性將會決定出可由量測 所得到的精確性及正確性。 將會了解到的是,糖圓偏光量測會檢查會顯著地大於感 興趣的特徵之量測區域(例如,在某些實施例令,量測區域 為5〇㈣x50㈣。如在此處所提及,可量測週期性陣列或 圖案’來取代單獨出現的特徵。理想上來說,該圖案會等 於或大於橢圓偏光計的量測區域。例如在遮罩層中的數行 光阻之中,有時會發現均勾間隔的重複特徵之合適圖案。 其十’合適圖案不是-特定襄置製程所原有的,在晶圓上 98284.doc 1326105 的已知位置,可增加具有足夠數目之均勻間隔重複特徵之 測試圖案。理想上來說,測試圖案中的特徵係以相同方式 且依照與感到興趣的特徵相同之條件而產生,以至於測試 圖案中的特徵之尺寸將會與感到興趣的特徵之尺寸相同。 然而,只要相互關係為預定,則不必具有感到興趣的特徵 及測試圖案的特徵,因此於關於測試圖案的量測可產生關 於該感到興趣特徵之有意義資料。 如一範例,藉由位於一堆疊層402上的光阻線4〇〇之橢圓 偏光量測,而產生尺寸圖(如圖4中的剖面所顯示),具有— 系列均勻間隔的光阻線406之測試圖案4〇4會在晶圓周圍的 不同位置製造出來。觀念上,光阻線4〇6與光阻線4〇〇完全 相同。應瞭解,光阻線406之間的間距應該要夠大,以至於 光阻線406不會相互干擾。換句話說,若光阻線4〇6的間距 太靠近在一起,則不會具有目標光阻線4〇〇的預期尺寸反 而會具有,例如,不同的側壁角度及寬度。 請注意,橢圓偏光量測能產生一種以上的尺寸特徵。因 此,在整片晶圓上的位置之橢圓偏光量測能產生與量測一 樣多的尺寸之尺寸圖。再者,一種以上的尺寸會併入單一 尺寸圖。例如,尺寸圖可以是一衍生尺寸圖(其為二種或更 多種1測的尺寸之加權平均,或者是一量測尺寸與一目標 尺寸之間的差異)。 再次參照圖1,在步驟120中,製程參數圖係從尺寸圖中 決定。製程參數圖係在製程步驟的期間,一種或多種製程 參數如何被應用於晶圓之代表。最簡單的製程參數圖會將 98284.doc •15- 1326105 均句製程參數應用於全部晶圓。例如,一般需控制的製程 參數為製程的持續期間,並且—般而纟,全部晶圓的持^ 期間是相同的。關於製程持續期間的製程參數圖(其中全部 晶圓各處的持續時間是一致的)將是單一值,如幾秒,而^ 以與圖2的尺寸圖200類似之形式所表示,則將顯示具有= 勻值的晶圓。單一值可使用的其他製程參數包括壓力、溫 度、偏壓、射頻(RF)功率、氣體流動率,以及氣體流率比 等等。由單一值所組成的製程參數圖可例如是藉由尺寸圖 中的平均值,而從尺寸圖中決定出來。因此,晶圓的平均 尺寸係用來設定符合已知關係式,或量測尺寸與合適製程 參數有關的數學函數之製程參數。 較複雜的製程參數圖也可以決定出來。較複雜的製程參 數圖會符合製程系統的物理限制。例如,可藉由使用加熱 器,而使水溫在通過晶圓時改變。從上述中,加熱器可直 接對水加熱,或可置於晶圓保持器、或「夹頭」中。簡單 的溫度可調式夾頭可以使水溫快速地改變,以至於晶圓的 周邊會比中心更熱或更冷。如偏壓及離子流量的製程參數 在通過晶圓時,能以類似的方式進行控制。因此,關於晶 圓溫度的製程參數圖(其中此系統適合溫度可調適夹頭)可 以疋超過中心至周邊的範圍之函數。此函數可以是線性或 更高階。 參考圖2之示範性尺寸圖2〇〇 ,將會了解到的是,所顯示 的里測線見度在中心(ID)為最大,並且會朝著周邊(〇d)變 小。因為尺寸圖2〇〇中的8個偏離中心點係位於二個特定半 98284.doc -16- 丄獨05 #里中的 個’所以可平均每個群組,而產生關於每個半 徑的平均值, 丁 圖5A中所顯示。二個平均值及中心(ID 可立即符人—括+ ▲ 。—或更尚階函數,而產生分別顯示於圖5B及 二中的製程參數圓502及5。4。在製程參數圖5〇2及5〇4中, ^ i± t程參數為溫度,並且在適當晶圓溫度與量測線 Λ :之間會有一正比關係。然而’將會了解到的是,任何 關係式可用來將尺寸圖轉換成製程參數圖。 更較為硬雜的製程參數圖可根據用於製程參數之複雜的 控制架構而產生。例如,以方形或六角形格點的喪入式加 件來控制晶圓溫度之溫度可調式夾頭的合適製程參數 圖對於每個加熱元件而言會具有獨立溫度值。再次參考圖2 ^範性尺寸圖細’將會了解到的是,若組成尺寸圖200 的里測,果落於方形格點上(如顯示),並且製程參數圖必定 需要六角形格點,則將尺寸圖2_換成合適製程參數圖將 會需要較為複雜的映射演算法。這樣的演算法可立即藉由 平常熟習此項技術者而產生。另外的可調式元件(如可”, 改變氣體流動率之氣體注入器)之合適製程參數圖可以類 似的方式產生。 也將會了解到的是’多於—種的製程參數圖可從單一尺 寸圖中產生出來。例如’尺寸圖可用來產生溫度及偏壓的 製程參數圖。同樣地,多於—種的尺寸圖可經由一個或多 個製程參數圖而用來決定一個或多個製程參數。例如,春 決定製程參數圖時,特徵的高度之尺寸圖,以及特徵^ 壁角度之另一尺寸圖會整合在一起。 98284.doc 1326105 厂-人爹照圓卜在步驟130中,晶圓係根據製程參數圖 來處理用於曰曰圓的製程步驟13〇可以例如是沈積、遮罩、 餘刻、剝離、清洗、或退火製程。製程步驟130中的至少-個製程參數係藉由步驟12()中所產生的製程參數圖而決定 出來以用於BBg)。將會了解到的是,在步驟中製程參 數圖可用於多於-種的半導體製程。例如,相同晶圓上的 二個相繼蝕刻製程可使用相同製程參數圖,來控制晶圓溫 如在此處所提及的,多於一種的製程參數圖可用於製 程步驟130’以同時控制多於—種的製程參數。 圖6係、..a示用以控制晶圓製程,以達成相繼晶圓之間的較 大尺寸均句度之方法6()()之_示範性具體實施例。方法 係起始於決定晶圓上的複數種特徵之尺寸圖的步驟“卜步 驟620會從尺寸圖中衫出製程參數圖、以及根據製程參數 圖來處理B曰圓而產生晶圓上的後製程特徵之步驟。接 著方法600具有決定後製程特徵的尺寸之步驟64〇,以及 從後製程特徵的尺寸中決定出優值之步驟㈣。最後,方法 6〇〇包括根據優值而決定出用於接下來的晶圓之製程參數 的步驟660。 在步驟610中’決定晶圓上的複數種特徵之尺寸圖基本上 係與方法_的步驟UG相同,而從尺寸圖巾決定出製程參 數圖之步驟620基本上係與方法1〇〇的步驟12〇相同。同樣 地’根據製程參數圖來處理晶圓,而產生晶圓上的後製程 特徵之步驟630係與方法100的步驟13〇非常相似,然而,步 驟6 3 0還需要產生晶圓上的後製程特徵。後製程特徵可能例 98284.doc -18- 1326105 :是最近?積的層、光阻遮罩中的線、或藉由㈣如電晶 閘極堆登所羞生的特徵。在某些具體實施例中,後製程 特徵為已由步驟630中的晶圓製程所修改的測試圖案404。 將會了解到的是’雖然方法_只需產生一種後製程特徵以 繼續進行’但是在步驟㈣中,會產生多於_種的後製程特 徵。 在步驟640中,後製程特徵的尺寸會決定出來。後製程特 徵的尺寸可使用任何合適的量測系統(包含用來產生步驟 61〇中的尺寸圖之相同量測系統)而決定出來。晶圓上之多 於一種的後製程特徵可以量測出來且予以平均或者是可 產生後製程尺寸圖,以顯示晶圓上的後製程特徵之尺寸如 何變化。 在步驟650中,優值會從後製程特徵的尺寸中決定出來。· 優值係基於後製程特徵的量測尺寸與此特徵的某些目標尺 寸之間的比較。例如’優值可能是量測尺寸與目標值之間 的差異比,正值代表量測尺寸大於目標值,而負值代表量鲁 測尺寸小於目標值》在步驟64〇產生後製程尺寸圖的該些具 體實施例中,步驟650同樣會產生優值圖,以顯示晶圓上的 優值如何變化。 ’ 在步驟660中,會根據步驟65〇令所決定的優值而決定出 . 製程參數,以用於接下來的晶圓。如從尺寸圖中決定出製 程參數圖的步驟120所提及,製程參數圖係根據接下來製程 步驟的量測尺寸與製程參數之間的某些已知關係而決定出 來。其中,此關係式為線性,例如,此關係式能以 98284.doc -19· 1326105 的形式來表示’其中γ為製程參數,而x為量測尺寸。使用 作為一例之這樣的線性關係式,在步驟660中,優值可用來 修改係數m及偏移量b中的任一個或二者。然後,可藉由量 測接下來的晶圓以及使用具有該已修改係數的已知關係式 而決定出製程參數,以用於接下來的晶圓。 將會了解到的是,在步驟660之後,一旦尺寸與製程參數 之間的關係式已根據步驟65〇中所決定的優值而做修改,則 接下來的晶圓可在不需量測該接下來的晶圓之下進行處 理。可取而代之的是,接下來的晶圓可假設與完成步驟61〇 到650的第一晶圓相同。在這些具體實施例的某些之中,在 步驟610中,決定用於第一晶圓的尺寸圖係假設用於接下來 的晶圓,並且可從使用步驟66〇中所決定的新關係式的尺寸 圖中,可準備用於接下來的晶圓之製程參數圖。 另種疋,即使全部尺寸圖係準備用於第一晶圓,但是 接下來的晶圓可在如中心的單獨位置處進行量測。在這些 具體實施例的某些之中,在步驟61〇令,決定用於第—晶圓 的尺寸圖係用於每個接下來的晶圓,但是會根據單獨量測 結果而比例化。然後,每個接下來的晶圓之製程參數圖會 從比例的尺寸圖及步驟660中所決定的新關係式中決定出 來。在其他的具體實施例t ’獨立尺寸圖會準備用於每個 接下來的晶圓,如方法100的每個步驟11〇。每個這樣的尺 寸圖會根據步驟660中所決定的新關係式,而轉換成製程參 數圖。 在某些具體實施例中,尺 寸與製程參數之間的關係式會 98284.doc •20- 1326105 是晶圓上的位置之函數。在這些具體實施例中,晶圓上的 夕,後裝程特徵會里測出來。對於每種這樣的後製程特徵 而’優值會決定出來,而產生可發展成關係圖的優值圖。 之後’用於接下來的晶圓之尺寸圖會根據關係圖而轉換成 ^程參數® ο在這樣的系統中,優值圖係表示後製程特徵 是依照晶圓上的位置之函數而如何靠近目標值。此關係圖 係表示量測尺寸與製程參數之間的關係式應該如何隨位置 的函數而變化’以達成下個晶圓上的最大均句纟。在某些 具體實施例中,每個晶圓會經由方法600來進行,以至於每 個晶圓會從先前的晶圓中得到益處。 也將會了解到的是,在某些具體實施例令,此方法可從 步驟640開始。在這些具體實施例中,初始晶圓會進行處 理,而產生後製程㈣,然後在步驟64〇中,㈣程特徵的 尺寸會決疋出來。之後,在步驟65〇中,優值會從後製程特 徵的尺寸中決定出來,然後在步驟66〇中’會根據步驟65〇 中所決定的優值而決定出製程參數,以用於接下來的晶 圓。然後,此方法可重複用於接下來的晶圓。 在上述的說明書中,本發明係參考其特定具體實施例來 進行說明,但是熟習此項技術者將了解到的是,本發明不 受限於此。上述的本發明之各種特徵及觀點可單獨或共同 使用。進一步而言,在不脫離本說明書的較廣泛精神與範 圍之下’本發明可用於超出在此所述之任何數量的環境及 應用裝置。因此,本說明書及圖式係視為例示,而不是作 為限制。 98284.doc •21 - 1326105 【圖式簡單課明】 圖1係根據本發明的一具體實施例之一示範性方法; 圖2係根據本發明的一具體實施例所產生之一示範性尺 寸圖; 圖3係一示範性橢圓偏光量測系統之圖形; 圖4係量測一示範性特徵以及此特徵的一示範性測試圖 案之橫截面圖 圖5A係圖4中所顯示的量測作為半徑的函數之圖形表示. 圖5B及5C係從圖5A的圖形表示中所得到之一示範性製 程參數圖;以及 圖6係根據本發明的另一具體實施例之另一示範性方法 【主要元件符號說明】 100 方法 110 步驟 120 步驟 130 步驟 200 尺寸圖 302 寬頻光源 304 光束 306 晶圓 308 稜鏡 310 偵測器陣列 312 量測資料頻譜 314 模型資料庫 98284.doc -22- 1326105 316 最佳適合 318 模型光柵 400 光阻線 402 層 404 測試圖案 406 光阻線 502 製程參數圖 504 製程參數圖 600 方法 610 步驟 620 步驟 630 步驟 640 步驟 650 步驟 660 步驟 98284.doc 23-

Claims (1)

1326105 w· 2 6*=---- 年月曰修 第093140140號專利申請案 中文申請專利範圍替換本(99年1 十、申請專利範圍: 1. 一種控制晶圓製程之方法,包括: 决疋關於該晶圓的複數特徵之一尺寸圖; 從該尺寸圖令決定-製程參數圖,該製程參數圖符合 -個或多個製程系統之至少一物理屬性,該至少一物理 屬性在整個晶圓上之複數個位置為可變的;以及 根據該製程參數圖來處理該晶圓。 长項1之方法’其中決定該尺寸圖包括隨著該晶圓上 的位置映像,決定該等複數特徵的尺寸。 、·項1之方法’其中該等複數特徵包括複數測試圖 案。 4 · 如δ月求項1夕V±- +4- I 去’其中決定該尺寸圖包括藉由橢圓偏光 量測來量測該等複數特徵。 5如D月求項1之方法,其中決定該尺寸圖包括使用以反射計 為主之CD量測技術來量測複數特徵。 6.如請求項1 古 万法,其中該晶圓係在一製程室中進行處 ,並且使用一與該製程室整合在一起的量測工具來執 行決定該尺寸圖。 7·=請求項1之方法,其中該晶圓係在-製程室中進行處 且使用一與該製程室分離獨立的量測工具來執行 決定該尺寸圖。 8 · 如請求項1 括廡田 方法,其中從該尺寸圖決定該製程參麩圖包 9.如=求關係式,以將該尺寸圖轉換成該製程參數圖。 項1之方法,其中從該尺寸圖決定該製程參礬圖包 98284-990226.doc 10. 括應用一映射演算法β 一種控制晶圓製程之方法,包括: 決定關於該晶圓的複數特徵之一尺寸圖; 從該尺寸圖決定一製程參數圖,該製程參數圖符合一 個或多個製程系統之至少一物理屬性,該至少—物理屬 性在整個晶圓上之複數個位置為可變的; 根據該製程參數圖來處理該晶圓,以產生關於該晶圓 的一後製程特徵; 決定該後製程特徵的一尺寸; 從該後製程特徵的尺寸決定一優值;以及 根據該優值,決定一用於接下來之晶圓之製程參數。 11. 12. 13. 14. 如請求項10之方法,其中決定該後製程特徵的尺寸包括 將一個以上的後製程特徵之量測結果平均。 如請求項10之方法,其中決定該優值包括決定一優值圖。 如請求項10之方法’其中決定用於該接下來晶圓之該製 程參數包括決定一用於該接下來晶圓之製程參數圖。 一種晶圓製程系統,包括: 用於決定關於晶圓的複數特徵之一尺寸圖之構件; 用於從該尺寸圖決定一製程參數圖之構件,該製程來 數圖符合一個或多個製程系統之至少一物理屬性,該至 ’ 物理屬性在整個晶圓上之複數個位置為可變的;以 及 用於根據該製程參數圖來處理該晶圓之構件。 15. 如請求項14之晶圓製程系統,其令用於處理該晶圓之該 98284-990226.doc 年 曰修(& 正替撟, 構件包括一半導體製程系統。 16.如請求項15之晶圓製程系統,其中用於決定該尺寸圖之 該構件包括一與該半導體製程系統整合在一起的量測工 具0 17 · —種晶圓製程系統,包括: 用於決定關於晶圓的複數特徵之—尺寸圖之構件 用於從該尺寸圖決定一製程參數圖之構件,該製程參 數圖符合一個或多個製程系統之至少一物理屬性,該至 少一物理屬性在整個晶圓上之複數個位置為可變的; 用於根據該製程參數圖來處理該晶圓,以產生關於該 晶圓的一後製程特徵之構件; 用於決定該後製程特徵的—尺寸之構件; 用於從該後製程特徵的尺寸決定一優值之構件;以及 用以根據該優值決定-用》接下來之晶圓《製程參數 之構件。 18 3求項17之B曰圓製程系統,其中用於決定該後製程特 徵的尺寸之該構件包括用於決定一後製程尺寸圖之構 件。 月长項17之曰曰圓製程系統’其中用於決定該優值之該 構件包括用於決定出一優值圖之構件。 瓜如請求項17之晶圓製程系統,其中用於決定用於該接下 來晶圓之該製程參數之該構件包括用於決定-用於該接 下來晶圓之製程參數圖之構件。 98284-990226.doc
TW093140140A 2003-12-24 2004-12-22 Process controls for improved wafer uniformity using integrated or standalone metrology TWI326105B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/746,969 US7018855B2 (en) 2003-12-24 2003-12-24 Process controls for improved wafer uniformity using integrated or standalone metrology

Publications (2)

Publication Number Publication Date
TW200527489A TW200527489A (en) 2005-08-16
TWI326105B true TWI326105B (en) 2010-06-11

Family

ID=34710759

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093140140A TWI326105B (en) 2003-12-24 2004-12-22 Process controls for improved wafer uniformity using integrated or standalone metrology

Country Status (8)

Country Link
US (1) US7018855B2 (zh)
EP (1) EP1697986B1 (zh)
JP (2) JP2007517400A (zh)
KR (1) KR100969636B1 (zh)
CN (2) CN101369551B (zh)
MY (1) MY131237A (zh)
TW (1) TWI326105B (zh)
WO (1) WO2005067009A2 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7049157B2 (en) * 2004-03-11 2006-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Calibration standard for critical dimension verification of sub-tenth micron integrated circuit technology
JP4444090B2 (ja) * 2004-12-13 2010-03-31 東京エレクトロン株式会社 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
KR100909474B1 (ko) * 2005-08-10 2009-07-28 삼성전자주식회사 웨이퍼 결함지수를 사용하여 국부성 불량 모드를 갖는결함성 반도체 웨이퍼의 검출 방법들 및 이에 사용되는장비들
JP4874606B2 (ja) * 2005-09-12 2012-02-15 株式会社東芝 用力設備設計装置、自動用力設備設計方法及び用力設備設計プログラム
US7534627B2 (en) * 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
US7444198B2 (en) * 2006-12-15 2008-10-28 Applied Materials, Inc. Determining physical property of substrate
US20080237811A1 (en) * 2007-03-30 2008-10-02 Rohit Pal Method for preserving processing history on a wafer
US7952708B2 (en) 2007-04-02 2011-05-31 Applied Materials, Inc. High throughput measurement system
TWI416096B (zh) * 2007-07-11 2013-11-21 Nova Measuring Instr Ltd 用於監控圖案化結構的性質之方法及系統
JP5174098B2 (ja) * 2010-08-09 2013-04-03 東京エレクトロン株式会社 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
US8906710B2 (en) 2011-12-23 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Monitor test key of epi profile
US8852964B2 (en) * 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
WO2016037003A1 (en) 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
WO2018031193A1 (en) * 2016-08-12 2018-02-15 Applied Materials, Inc. Critical methodology in vacuum chambers to determine gap and leveling between wafer and hardware components
JP6784127B2 (ja) * 2016-10-04 2020-11-11 株式会社村田製作所 半導体デバイスの製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4571685A (en) 1982-06-23 1986-02-18 Nec Corporation Production system for manufacturing semiconductor devices
US5795493A (en) 1995-05-01 1998-08-18 Motorola, Inc. Laser assisted plasma chemical etching method
US5943550A (en) 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US6015718A (en) * 1997-08-14 2000-01-18 Cypress Semiconductor Corporation Indentification of the composition of particles in a process chamber
KR20010024608A (ko) * 1998-09-14 2001-03-26 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의 제조장치 및 그 제조방법
US6706541B1 (en) * 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
WO2001084382A1 (en) * 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
AU2002341677A1 (en) 2001-09-18 2003-04-01 Applied Materials, Inc. Integrated equipment set for forming an interconnect on a substrate
JP2003203841A (ja) * 2002-01-07 2003-07-18 Mitsubishi Electric Corp 評価方法、製造条件補正方法及び半導体装置の製造方法
US6858361B2 (en) 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US6783904B2 (en) * 2002-05-17 2004-08-31 Freescale Semiconductor, Inc. Lithography correction method and device
US6862491B2 (en) * 2002-05-22 2005-03-01 Applied Materials Israel, Ltd. System and method for process variation monitor
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control

Also Published As

Publication number Publication date
CN101369551B (zh) 2010-07-14
CN100435307C (zh) 2008-11-19
MY131237A (en) 2007-07-31
TW200527489A (en) 2005-08-16
KR100969636B1 (ko) 2010-07-14
EP1697986B1 (en) 2020-07-08
JP2007517400A (ja) 2007-06-28
EP1697986A2 (en) 2006-09-06
JP2011228722A (ja) 2011-11-10
US20050148104A1 (en) 2005-07-07
JP5621086B2 (ja) 2014-11-05
KR20060122876A (ko) 2006-11-30
CN1898788A (zh) 2007-01-17
CN101369551A (zh) 2009-02-18
WO2005067009A3 (en) 2005-08-18
US7018855B2 (en) 2006-03-28
WO2005067009A2 (en) 2005-07-21

Similar Documents

Publication Publication Date Title
JP5621086B2 (ja) 統合又は単独計測を用いる改善されたウェーハ均一性のための処理制御方法及び装置
JP5162778B2 (ja) プロセスパラメータを分散に関連づける分散関数を用いた構造のプロファイルパラメータの決定
TWI755386B (zh) 計量系統及方法
EP1373828B1 (en) Method to measure features with asymmetrical profile
KR102046192B1 (ko) 신규 웨이퍼 지오메트리 메트릭을 이용한 오버레이 및 반도체 처리 제어
US7713758B2 (en) Method and apparatus for optimizing a gate channel
TWI464818B (zh) 利用光學量測及感測器裝置之蝕刻製程控制
US7899637B2 (en) Method and apparatus for creating a gate optimization evaluation library
US20130084655A1 (en) Overlay measurement for a double patterning
JP2017201402A (ja) ツール及びプロセスの効果を分離する基板マトリクス
US7080330B1 (en) Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US7751025B2 (en) Scatterometric method of monitoring hot plate temperature and facilitating critical dimension control
Sendelbach et al. Feedforward of mask open measurements on an integrated scatterometer to improve gate linewidth control
TWI343474B (en) Methods and apparatus for determining the temperature of a substrate
US8069020B2 (en) Generating simulated diffraction signal using a dispersion function relating process parameter to dispersion
US8956886B2 (en) Embedded test structure for trimming process control
TWI764562B (zh) 多步驟製程檢測方法
KR20230054684A (ko) 다중스케일 물리적 에칭 모델링 및 그의 방법.
US6931618B1 (en) Feed forward process control using scatterometry for reticle fabrication
JP2005303093A (ja) 熱処理評価方法及び現像処理評価方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees