CN1892443A - 用于执行考虑了近邻影响的基于模型的光学邻近校正的设备、方法和计算机程序产品 - Google Patents

用于执行考虑了近邻影响的基于模型的光学邻近校正的设备、方法和计算机程序产品 Download PDF

Info

Publication number
CN1892443A
CN1892443A CNA200610121398XA CN200610121398A CN1892443A CN 1892443 A CN1892443 A CN 1892443A CN A200610121398X A CNA200610121398X A CN A200610121398XA CN 200610121398 A CN200610121398 A CN 200610121398A CN 1892443 A CN1892443 A CN 1892443A
Authority
CN
China
Prior art keywords
pattern
target pattern
mask
parts
steps
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200610121398XA
Other languages
English (en)
Other versions
CN100576085C (zh
Inventor
M·F·A·尤尔林斯
T·莱迪
U·霍勒巴赫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML FRISKET TOOLS BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML FRISKET TOOLS BV filed Critical ASML FRISKET TOOLS BV
Publication of CN1892443A publication Critical patent/CN1892443A/zh
Application granted granted Critical
Publication of CN100576085C publication Critical patent/CN100576085C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B15/00Systems controlled by a computer
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B17/00Systems involving the use of models or simulators of said systems

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Image Analysis (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

基于模型的光学邻近校正(MOPC)偏置技术可被用于优化掩模图案。然而,传统的MOPC技术没有考虑掩模上的邻近特征的影响。这种影响可以按照下面的方式加以考虑:首先,根据目标图案生成预测图案并且选择多个评估点,其中可以在所述评估点处确定偏置。然后,为每个评估点生成一组多变量等式,每个等式代表掩模上的邻近特征的影响。求解所述等式以便确定每一评估点处的偏置量,从而所述掩模得到优化。可以重复这个处理过程,直到所述掩模图案被进一步优化。

Description

用于执行考虑了近邻影响的基于模型的光学邻近校正的 设备、方法和计算机程序产品
技术领域
本发明涉及用于掩模优化的基于模型的光学邻近校正(MOPC),特别涉及在掩模优化期间考虑了近邻(neighbor)的影响的MOPC。
背景技术
光刻(lithographic)设备可以用于例如集成电路(IC)的制造。在这种情况下,所述掩模可以包含相应于单层IC的电路图案,该图案可以被成像在已经涂敷了辐射敏感材料(抗蚀剂)层的衬底(硅晶片)上的目标部分上(例如包括一个或多个管芯(die))。通常,单个晶片将包含各相邻目标部分的整个网络,所述各目标部分由投影系统连续地一次辐射一个。在一种类型的光刻投影设备中,通过一次性将整个掩模图案曝光到目标部分上来辐射每一个目标部分;这种设备通常被称作晶片步进器。在另一种设备中(通常被称作步进-扫描设备),通过以给定的参考方向(“扫描”方向)在投影束下渐进地扫描该掩模图案、同时以平行于或者反向平行于该参考方向的方向同步扫描衬底台来辐射每个目标部分;这是因为通常来说,投影系统将具有一个放大因数M(通常<1),对衬底台进行扫描的速度V是对掩模台进行扫描的速度的M倍。例如可以从美国专利US 6,046,792中收集关于在这里描述的光刻装置的更多信息,其在此被引入以作为参考。
在利用了光刻投影设备的制造工艺中,掩模图案被成像在至少部分地由一层辐射敏感材料(抗蚀剂)覆盖的衬底上。在该成像步骤之前,该衬底可以经历各种工序,比如涂底漆、涂敷抗蚀剂和软烘烤。在曝光之后,该衬底可以经历其它的工序,比如曝光后烘烤(PEB)、显影、硬烘烤以及对成像特征的测量/检查。这一系列工序被用作模制例如IC的装置的单独层的基础。这种被模制的层然后可以经历各种工艺,比如蚀刻、离子注入(掺杂)、金属化、氧化、化学-机械抛光等等,所有这些工艺都是为了完成单独的层。如果需要几层,那么必须对每一新层重复整个工序或者该工序的变型。最后,在衬底(晶片)上将出现装置阵列。通过诸如切割(dicing)或者锯断(sawing)之类的技术使得这些装置彼此分离,从而可以将各单独的装置安装在载体上、连接到引脚等等。关于这些工艺的进一步信息例如可从Peter van Zant的“Microchip Fabrication:APractical Guide to Semiconductor Processing”一书的第三版(McGraw HillPublishing Co.,1997,ISBN 0-07-067250-4)中获得,其在此被引入以作为参考。
为了简单起见,所述投影系统在下文中被称为“透镜”;可是,该术语应被广义地解释为包含各种类型的投影系统,包括例如折射光学装置、反射光学装置和反射折射(catadioptric)系统。所述辐射系统还可以包括根据这些设计类型当中的任一设计操作的部件,以用于引导、整形或者控制辐射投影束,并且这种部件在下文中还可以被共同地或者单独地称作“透镜”。另外,所述光刻设备可以是具有两个或者多个衬底台(和/或两个或者多个掩模台)的类型。在这种“多级”装置中,可以并行使用这些附加台,或者可以在一个或者多个台上进行准备步骤,而一个或者多个其它台被用于曝光。例如在US 5,969,441和WO 98/40791中描述了二级光刻设备,其在此被引入以作为参考。
上述光刻掩模包含对应于将被集成在硅晶片上的各电路部件的几何图案。用于产生这种掩模的图案是利用CAD(计算机辅助设计)程序生成的,这种方法通常被称为EDA(电子设计自动化)。大多数CAD程序按照一组预先设定的设计规则来产生功能性掩模。这些规则是由处理和设计限制来设置的。例如,设计规则定义了各电路装置(例如门、电容器等等)之间或者互连线之间的空间容差,以便确保各电路装置或者线之间不会以不希望的方式相互作用。所述设计规则限制典型地被称作“临界尺寸”(CD)。电路的临界尺寸可被定义为最小线宽或是两根线之间的最小间隔。因此,所述临界尺寸决定所设计的电路的总体大小和密度。
当然,集成电路制造的目的之一是在晶片上(通过掩模)如实地再现原始的电路设计。然而,由于光刻特征的日益精微的尺寸和高分辨率系统,印刷在衬底上的最终特征的轻微偏差可能造成装置的失效。这些偏差一般由在衬底上生成图像的装置的物理变化(或衍射受限的成像)、系统的照射特性以及掩模等引起。
在现有技术中,基于模型的光学邻近校正(MOPC)技术提供了校正措施来最小化这种不希望的偏差。MOPC基于仿真图像(即目标图像)与预测图像的比较来确定上述偏差,所述仿真图像代表将被形成在衬底上的所希望的图像,而所述预测图像则考虑了照射系统和衬底等的特性。实际上,所述目标图像和预测图像被分为多个部分。
图1示出了目标图像12的示例部分10与相应的预测图像14(被叠加在其上)。通常,利用MOPC技术的光刻人员会在通常位于对应部分10的中心的评估点A处分析目标图像,以确定在该点所需的偏置量。随后将对不同的评估点重复这一过程,直到获得满意的结果。
在MOPC分析中可以利用软件包来给予帮助。这样,目标图像可以由一个数学表达式来表示,并且可以从该数学表达式中导出预测图像。一些众所周知的数学算法(例如“牛顿方法”)可以被用于确定目标图像12与预测图像14之间的偏差。该牛顿方法使用一种迭代算法来确定表示目标图像12与预测图像14之间的偏差量的函数的根。该偏差量随后可以被用于确定补偿该偏差所需的偏置量。
等式1代表用于校正掩模边缘的牛顿公式。
等式1→ ΔF = ΔI ( ∂ xy ∂ I )
图2示出了等式1中的特定分量的曲线图。线16表示在给定评估点处的强度曲线。I/xy(由数字18表示)表示在给定评估点处的特征曲线的斜率。I表示在给定评估点处的目标图像与预测图像之间的偏差(即强度值的误差)。ΔF(未示出)表示偏移该评估点的边缘以补偿目标图像12与预测图像14之间的偏差所需的偏置量。如果目标图像12与预测图像14是相同的,则强度曲线(线16)和线18之间的交点将与图的原点重合,如图3所示。换句话说,ΔI将是0。
MOPC利用空中影像(aerial image)模型或校准模型作为预测图像。不过该校准模型考虑到掩模属性、产生掩模的工具的特性、抗蚀剂属性等,因此是高度准确的。利用校准模型的缺点包括大量的校准,其中包括建立掩模和曝光晶片以及随后对那些不能归于掩模、半导体或任何相关属性的任意成像属性的因素分析。此外,利用校准模型的主要缺点是必须使用最优化的掩模来进行校准。如果没有使用最优化的掩模,那么该校准模型将可能产生不准确的结果。因此,工业上经常使用空中影像以用于MOPC,因为它不依赖于现有的工具,从而会加速和促进该处理过程。但是,空中模型不像使用校准模型时那样考虑到实际的缺陷。
不管使用哪种模型,发明人发现传统的MOPC技术没有考虑到邻近特征对目标图案的给定部分的影响。换句话说,MOPC只考虑在给定评估点处的目标图像和预测图像之间的偏差,而不考虑由给定掩模图案中的邻近特征造成的强度误差。结果,目标图像和预测图像之间的偏差仍然存在。
发明内容
为了解决上述问题,在此描述的新颖思想使现有的MOPC技术能够在计算给定特征的偏置量时考虑邻近特征的影响。更特别地,在此描述的新颖思想涉及用于对将被成像到衬底表面上的掩模图案进行优化的方法。该方法包括以下步骤:(a)生成对应于将被成像的掩模图案的目标图案;(b)根据所述将被成形到衬底表面上的图像的目标图案来仿真预测图案;(c)分割所述目标图案的特征并且选择至少两个部分以用于评估;(d)通过考虑所述至少两个部分当中的至少一个其他部分的影响来计算所述至少两个部分当中的每一部分所需的偏置量;(e)根据步骤(d)的结果来修改所述目标图案,以便优化所述掩模图案;(f)根据步骤(e)中的修改后的目标图案来仿真第二个预测图案;以及(g)分析所述第二个预测图案,以便确定为了优化所述掩模图案是否已经充分偏置了所述修改后的目标图案。如果从步骤(g)中得知偏置不充分,则重复步骤(d)到步骤(g),其中步骤(e)的修改后的目标图案变成步骤(c)的目标图案。如果从步骤(g)中得知偏置充分,则根据所述修改后的目标图案来生成最优化的掩模。
上述新颖思想可以具体实现为计算机程序产品和利用基于模型的光学邻近校正(MOPC)对将被形成在衬底表面上的图案进行优化的设备。该设备可以包括:辐射系统,用于提供投影束;照射器,用于接收所述辐射投影束并且将调节过的辐射束投影到一部分掩模上;投影系统,其具有数值孔径(NA)以用于将掩模的对应的受辐射部分成像到衬底的目标部分上;以及用于执行上述步骤的计算机系统。
上述新颖思想相对于传统MOPC技术具有显著的优点。通过考虑邻近特征的影响,提高了偏置计算的准确度。由此,掩模可以被进一步优化以用于增强将被成像到衬底表面上的图案。
通过参考下面的详细说明并结合附图,本发明公开内容的前述和其它特征、方面和优点将变得更加显而易见。
附图说明
图1是目标图像的一部分与被叠加在其上的预测图像的示例图。
图2是示出了在如图1所示的单个评估点处的目标图像和预测图像之间的强度偏差量的曲线图。
图3是类似于图2的曲线图,但是其示出了在如图1所示的单个评估点处的目标图像和预测图像之间的0偏差。
图4示出了依照本发明的目标图像的示例部分。
图5是示出了依照本发明的通过考虑邻近结构的影响来确定掩模偏置的方法的示例流程图。
图6示出了依照本发明的修改后的目标图像的示例部分。
图7示出了示例性光刻投影设备。
具体实施方式
一般而言,这里描述的MOPC技术需要在考虑掩模上的邻近特征的影响的同时确定优化掩模图案所需的偏置量。所述技术包括根据目标图案来生成预测图案,以及选择多个评估点,其中在所述多个评估点处可以确定偏置。为了考虑掩模上的邻近特征的影响,为每一个评估点生成一组多变量等式。所述一系列等式随后被求解以便确定每一评估点处的偏置量,并据此修改目标图案。因为MOPC是一种迭代处理,因此又根据所述修改后的目标图案来生成预测图案,以用于确定偏置是否充分。如果不充分,则上面描述的评估被重复,直到获得适当的图像。通过执行上述过程,可以考虑到给定图案的邻近部分的影响,从而准确地减小目标图像与将被印刷到衬底表面上的实际图像之间的偏差。这样做大大优化了将使用MOPC技术形成的掩模。
图4示出了目标图案的示例部分。为了便于解释,图4中显示的目标图案与图1中示出的相同。当然,任何图案都可以利用这里描述的方法进行分析。该目标图案被分为多个部分,在这个例子里由评估点A-N限定。这些评估点可以按照很多种方式进行选择。典型的是,光刻人员可以选择线端点和被分割的特征边缘。
图5示出了用于实现在此描述的新颖思想的处理的流程图。在图5中,“步骤”将被简写为字母“S”。在步骤500中,根据目标图案生成预测图案。图2总体地说明了一个示例性的预测图案14,因此在其它的附图中将不再对其进行说明。可以使用多种方法基于目标图案生成预测图案。可以使用的典型的软件包例如有ASML MASKTOOLSTM的MASKWEAVERTM。MASKWEAVERTM是一种面向亚100纳米(sub-100nm)技术模式的功能强大的掩模设计和优化工具。该软件被特别开发用于在高级节点(advanced nodes)处帮助解决半导体制造商的低k1成像需求。MASKWEAVERTM软件还利用ASML公司的被授予专利的无铬相位光刻(CPLTM)的本机实现方式来提供全芯片的、分级的、基于模型的光学邻近校正能力。
在步骤502中,光刻人员可以选择多个评估点,例如图4中所示的那样。可以选择所有的评估点或是一组相邻的评估点来进行分析。可选地,可以通过限定目标图像的待分析区域以及定位该区域相对于评估点的矩心(centroid)来选择评估点或者评估部分。该区域应当包括至少两个评估点。可以考虑任意类型的区域,但为了便于说明,选择圆形的区域。
在步骤504中,设置影响半径来构成一个影响圆。理想地,该影响圆应当覆盖给定目标图像的整块区域。然而,远处的特征对目标图像上的给定评估点处的强度具有较少的影响。自然地,在设置所述影响半径方面存在一个折衷。当所述影响半径增加时,在该影响圆所包含的每一评估点处的偏置计算的准确度也会增加。然而,计算时间也会显著增加。当所述影响半径减小时,每一评估点处的偏置计算的准确度也会减小。但计算时间也会减少。如果影响半径被设置为0值或者被设置为一个使得所述影响圆不包含任何其它评估点的值时,偏置计算将与传统的MOPC一致。通常来说,一个合理的影响半径可以被设置为光刻设备的λ/NA的一倍或两倍(即λ/NA的倍数),或者被设置成使得影响圆包含至少一个其它的评估点。参照图4,影响半径被表示为变量R。在该示例图中,该影响圆包含评估点A、B、C、D、L和N。自然,该影响半径R可被增加,以使得该影响圆包含其它的评估点。
在步骤506中,为该影响圆所包含的每一个评估点、或者更一般地说是为光刻人员所选择的每一个评估点生成一个牛顿等式。参考示例性的图4,将有6个牛顿等式,该影响圆所包含的每一个评估点对应其中一个等式。回想一下,(如下所再现的)等式1代表一个牛顿等式。
等式1→ ΔF = ΔI ( ∂ xy ∂ I )
等式1可通过将xy/I替换为fxy/I来进行修改,其表示多个点的偏置。因此,“I”将对不同于为其求解了该给定等式的评估点的一个评估点处的边缘的变化作出响应。
下面的等式2对应于已如上所述地被修改的等式1,其被简化并且对于ΔI被求解。
等式2→ ΔI ‾ = ∂ I ‾ ‾ · ΔF ‾
变量 ΔI和
Figure A20061012139800123
是已知的。因此,等式2可对于 ΔF被求解,以便确定在给定评估点处所需的偏置量。因为有多个评估点,因此将有一系列等式。下面所示的是对应于示例性的图4中的评估点A、B、C、D、L和N的一系列展开形式的等式。
Δ I A = ∂ I A ∂ fx y A · Δ F A + ∂ I A ∂ fx y B · Δ F B + ∂ I A ∂ fx y C · ΔF C + ∂ I A ∂ fx y D · Δ F D + ∂ I A ∂ fx y L · Δ F L + ∂ I A ∂ fx y N · Δ F N
Δ I B = ∂ I B ∂ fx y A · Δ F A + ∂ I B ∂ fx y B · Δ F B + ∂ I B ∂ fx y C · ΔF C + ∂ I B ∂ fx y D · Δ F D + ∂ I B ∂ fx y L · Δ F L + ∂ I B ∂ fx y N · Δ F N
Δ I C = ∂ I C ∂ fx y A · Δ F A + ∂ I C ∂ fx y B · Δ F B + ∂ I C ∂ fx y C · ΔF C + ∂ I C ∂ fx y D · Δ F D + ∂ I C ∂ fx y L · Δ F L + ∂ I C ∂ fx y N · Δ F N
Δ I D = ∂ I D ∂ fx y A · Δ F A + ∂ I D ∂ fx y B · Δ F B + ∂ I D ∂ fx y C · ΔF C + ∂ I D ∂ fx y D · Δ F D + ∂ I D ∂ fx y L · Δ F L + ∂ I D ∂ fx y N · Δ F N
Δ I L = ∂ I L ∂ fx y A · Δ F A + ∂ I L ∂ fx y B · Δ F B + ∂ I L ∂ fx y C · ΔF C + ∂ I L ∂ fx y D · Δ F D + ∂ I L ∂ fx y L · Δ F L + ∂ I L ∂ fx y N · Δ F N
Δ I N = ∂ I N ∂ fx y A · Δ F A + ∂ I N ∂ fx y B · Δ F B + ∂ I N ∂ fx y C · ΔF C + ∂ I N ∂ fx y D · Δ F D + ∂ I N ∂ fx y L · Δ F L + ∂ I N ∂ fx y N · Δ F N
回想一下,因子
Figure A200610121398001210
是已知的。因此,在步骤508中为了简化计算,接近于0的
Figure A200610121398001211
的值可以被设置为0值。然而,这里存在一个折衷。当被设置为0的因子的数目增加时,准确度则会降低。无论如何,如步骤510所示,通过将一些因子设置为0,可以形成一个稀疏的矩阵。在步骤512中,通过为每一个变量求解该矩阵可以确定每一个评估点处的偏置 ΔF。求解稀疏矩阵的方法是公知的,并且典型的数学软件程序(如MATLAB)可被用于该目的。
步骤508和510用于简化计算。然而,这些步骤可以被省略,但是同样存在一个折衷,这是因为计算时间会增加。不管怎样,如果给出能够求解一组复杂等式的计算机系统,则可以不需要这样的简化。
在步骤514中,根据在步骤512中计算出来的偏置量来修改所述目标图案。图6示出了对应于图3所示的目标图像的示例性的修改后的目标图像。需要着重指出的是,该修改后的目标图像不是基于实际的计算,并且仅用于说明和解释的目的。然而在这个例子中,分别对应于评估点A、C、D和L的部分20、24、26、和28已经被偏置。分别对应于评估点B和N的部分22和30则未被偏置。
在步骤516中,在步骤514中生成的修改后的目标图案被用于生成一个新的预测图案(未示出)。该预测图案可以按照上面描述的相同方式生成。在步骤518中,确定偏置是否充分。
光刻人员可以通过确定预测图像和修改后的目标图像之间的偏差来分析在步骤518中的MOPC偏置调节是否充分。这可以通过确定该偏差是否符合或是落入预定容差来进行。如果该预测图像处于该预定容差范围内,那么MOPC已经完成。然而,如果该预测图像处于该预定容差范围之外,则重复MOPC,回到步骤502。MOPC可以被重复,直到符合该预定容差。
如果MOPC(即特征的偏置调节)不能满足所述预定容差,则可以调节设备曝光设置和散射条。名为“A method,program product and apparatus ofsimultaneous optimization for NA-Sigma exposure settings and scattering bars OPCusing a device layout(利用装置布局对NA-西格马曝光设置和散射条OPC同时进行优化的方法、程序产品和设备)”的美国专利申请号10/878,489描述了新颖的技术,其中可以与MOPC同时地对于任何图案优化光刻设备设置。该申请被全部引用于此以作参考。
上述的例子对应于单次曝光系统。但是该组等式可以被修改,以便能够适用于使用两次曝光或者多次曝光的光刻术,如下面的等式3所示。
等式3→ ΔI ‾ = ∂ I ‾ ‾ | expl · ΔF ‾ expl + ∂ I ‾ ‾ | exp 2 · ΔF ‾ exp 2 + · · · + ∂ I ‾ ‾ | expn · ΔF ‾ expn
上述的思想可以进一步增强传统的掩模优化技术,比如基于规则的掩模优化或者传统的OPC技术。例如,最初可以执行传统的技术,并且可以执行上述思想来进一步增强传统的优化技术。
软件可以实现或者帮助实施上面公开的思想。计算机系统的软件功能性涉及编程,包括可执行代码,其可被用于实施上述优化技术。所述软件代码可由通用计算机来执行。在操作中,所述代码(可能还有相关的数据记录)被存储在通用计算机平台内。然而,在其他时间,所述软件可以被存储在其它位置处并且/或者被传输以便加载到适当的通用计算机系统内。因此,上面讨论的实施例包括一个或多个软件产品,其具有由至少一个机器可读介质承载的一个或多个代码模块的形式。基本上以这里讨论和说明的实施例中执行的方式,由计算机系统的处理器执行这种代码使得所述平台能够实现目录和/或软件下载功能。
这里所使用的术语(例如计算机或机器“可读介质”)是指参与将指令提供给处理器以便执行的任何介质。这种介质可以采取多种形式,包括(但不限于)非易失性介质、易失性介质和传输介质。非易失性介质例如包括光盘或磁盘,比如上面讨论的作为一个服务器平台而操作的任何计算机中的任何存储器件。易失性介质包括动态存储器,比如这种计算机平台的主存储器。物理传输介质包括同轴电缆、铜线和光纤,其中所包括的连线包括计算机系统内的总线。载波传输介质可以具有电信号或电磁信号或者声波或光波的形式,比如在射频(RF)和红外(IR)数据通讯期间产生的波。因此,计算机可读介质的通常形式例如包括:软盘、软磁盘、硬盘、磁带、任何其它磁性介质、CD-RDM、DVD、任何其它光学介质、较不通用的介质(比如穿孔卡片、纸带)、任何其他具有孔图案的物理介质、RAM、PROM和EPROM、FLASH-EPROM、任何其它存储芯片或盒式磁盘、传输数据或指令的载波、传输这种载波的电缆或链路、或者计算机可以从中读取程序代码和/或数据的任何其他介质。许多这些形式的计算机可读介质可用于携带能被处理器执行的一个或多个指令的一个或多个序列。
图7示意性的描绘了适用于借助本发明而设计的掩模的光刻投影设备。该设备包括:
-辐射系统Ex、IL,用于提供辐射的投影束PB。在该特定情况下,该辐射系统还包括辐射源LA;
-第一对象台(掩膜台)MT,其配备有掩膜固定器以用于固定掩膜MA(例如标线),并且被连接至第一定位装置以用于关于项目PL精确地定位该掩模;
-第二对象台(衬底台)WT,其配备有底固定器以用于固定衬底W(例如涂覆有抗蚀剂的硅晶片),并且被连接到第二定位装置以用于关于项目PL精确地定位该衬底:
-投影系统(“透镜”)PL(例如折射、反射以及反射折射光学系统),用于将掩模MA的被辐射部分成像到衬底W的目标部分C(例如包括一个或者多个管芯)上。
正如在这里所描述的那样,所述设备是透射类型的(即具有透射掩模)。然而,一般来说,其例如也可以是反射类型的(具有反射掩模)。作为选择,该设备可以采用另一种模制装置作为使用掩模的替换方案;其实例包括可编程镜阵列或者LCD矩阵。
所述源LA(例如汞灯或者受激准分子激光器)产生辐射束。该辐射束被直接馈送到照射系统(照射器)IL中或者在穿过诸如射束扩展器Ex的调节装置之后而被馈送到该照射系统IL中。该照射器IL可以包括调节装置AM,其用于设置所述射束中的强度分布的外部和/或内部径向范围(通常分别称为σ外部和σ内部)。另外,它一般包括各种其它的部件,诸如积分器IN和聚光器(condenser)CO。这样,撞击在掩模MA上的射束PB在其横截面上具有所希望的均匀性和强度分布。
应当注意,在图7中,源LA可以在光刻投影设备的外壳中(例如,当该源LA是汞灯时通常就是这种情况),但是它也可以远离该光刻投影设备,其产生的辐射束被引入该设备中(例如,在合适的导向反射镜的帮助下);当该源LA是受激准分子激光器(例如基于KrF、ArF或者F2激光作用)时通常就是后面的那种情况。本发明至少包括这两种情况。
随后,射束PB截取(intercept)被固定在掩模台MT上的掩模MA。在穿过该掩模MA之后,射束PB穿过透镜PL,该透镜PL将射束PB聚焦在衬底W的目标部分C上。在第二定位装置(以及干涉测量装置IF)的帮助下,衬底台WT能被精确地移动,以便例如在射束PB的路径中定位不同的目标部分C。同样,第一定位装置能被用于例如在从掩模库机械地获取掩模MA之后或者在扫描期间关于射束PB的路径精确地定位掩模MA。通常来说,目标台MT、WT的移动将在长行程(long-stroke)模块(粗略定位)和短行程模块(精细定位)的帮助下实现,其没有在图7中没有被明确的描述。然而,在晶片步进器(与步进-扫描工具相对)来说,掩模台MT可以仅被连接到短行程致动器,或者可以被固定。
所描述的工具能在两种不同的模式下使用:
-在步进模式中,掩模台MT基本上被保持固定,整个掩模图像被一次投影(即单“闪”)到目标部分C上。然后衬底台WT在x和/或y方向上被移位,以使得不同的目标部分C能被射束PB辐射;
-在扫描模式中,基本上是同样的情况,除了给定的目标部分C不是在单“闪”中被曝光之外。相反,掩模台MT在给定方向(所谓的“扫描方向”,例如y方向)上可以以速度v移动,以使得投影束PB在掩模图像上扫描;同时,衬底台WT在相同或者相反的方向上以速度V=Mv同时移动,其中M是透镜PL的放大倍率(典型地,M=1/4或者1/5)。在这种方式中,可以曝光相对较大的目标部分C而不会牺牲分辨率。
这里公开的思想可以仿真或者在数学上模拟任何一般的用于成像子波长特征的成像系统,并且对于能够产生日益减小的波长的新兴成像技术可能特别有用。已经使用的新兴技术包括EUV(极紫外)光刻术,它能够使用ArF激光器来产生193nm波长,甚至使用氟激光器来产生157nm波长。此外,为了能够产生20-5nm范围内的光子,通过使用同步加速器或者通过用高能电子撞击材料(固体或等离子体),EUV光刻术能产生该范围内的波长。因为大多数材料在该范围内是吸收性的,所以可以通过具有钼和硅的多层层叠反射镜来产生照射。该多层反射镜具有40层钼和硅对,其中每层的厚度是四分之一波长。用X射线光刻术甚至可以产生更短的波长。典型地,使用同步加速器来产生X射线波长。因为大多数材料在X射线波长下是吸收性的,所以吸收材料薄片限定将要印刷(正性抗蚀剂)或者不印刷(负性抗蚀剂)特征的位置。
虽然这里公开的思想可用于在衬底(如硅晶片)上成像,但应当理解,所公开的思想可用于任何类型的光刻成像系统,例如那些用于在除硅晶片以外的衬底上成像的系统。
尽管已经详细描述和阐明了本发明,但是应该清楚地理解,上述内容仅用于说明和示例而不是进行限制,本发明的范围仅由所附权利要求书进行限定。

Claims (21)

1、一种用于对将被成像到衬底表面上的掩模图案进行优化的方法,该方法包括以下步骤:
(a)生成将被成像的目标图案;
(b)根据将被形成在所述衬底表面上的目标图案来仿真预测图案;
(c)分割该目标图案的特征并且选择至少两个部分以用于评估;
(d)通过考虑所述至少两个部分当中的至少一个其他部分的影响来计算所述至少两个部分当中的每一部分所需的偏置量;以及
(e)根据步骤(d)的结果来修改所述目标图案,以便优化所述掩模图案。
2、根据权利要求1所述的方法,该方法进一步包括以下步骤:
(f)根据步骤(e)中的所述修改后的目标图案来仿真第二预测图案;
(g)分析该第二预测图案,以便确定为了优化所述掩模图案是否已经充分偏置了所述修改后的目标图案;以及
(h)如果从步骤(g)中得知偏置不充分,则重复步骤(d)到步骤(g),其中步骤(e)中的修改后的目标图案变为步骤(c)中的目标图案。
3、根据权利要求2所述的方法,其中如果从步骤(g)中得知偏置充分,则根据所述修改后的目标图案生成经优化的掩模。
4、根据权利要求1所述的方法,其中在步骤(c)中,所述至少两个部分彼此相邻。
5、根据权利要求1所述的方法,其中步骤(c)进一步包括以下步骤:
(i)定义具有参数的所述目标图案的区域,该参数大于或者等于给定照射系统的λ/NA;
(ii)相对于所述至少两个用于评估的部分的其中之一来定位所述区域的矩心。
6、根据权利要求5所述的方法,其中所述区域对应于圆,并且所述参数对应于该圆的半径。
7、根据权利要求1所述的方法,其中步骤(d)进一步包括以下步骤:
(i)为所述至少两个部分当中的每个部分生成一系列等式;以及
(ii)将接近于0的因子设置为0,以生成稀疏矩阵。
8、一种计算机程序产品,其包括可以由至少一个机器可读介质传送的可执行代码,其中由至少一台可编程计算机来执行所述代码使得该至少一台可编程计算机执行一系列步骤,以便对将被成像到衬底表面上的掩模图案进行优化,其中包括以下步骤:
(a)生成将被成像的目标图案;
(b)根据将被形成在所述衬底表面上的该目标图案来仿真预测图案;
(c)分割所述目标图案的特征并且选择至少两个部分以用于评估;
(d)通过考虑所述至少两个部分当中的其它部分的影响来计算所述至少两个部分当中的每个部分所需的偏置量;以及
(e)根据步骤(d)的结果来修改所述目标图案,以便优化所述掩模图案。
9、根据权利要求8所述的计算机程序产品,进一步包括以下步骤:
(f)根据步骤(e)中的所述修改后的目标图案来仿真第二预测图案;
(g)分析该第二预测图案,以便确定为了优化所述掩模图案是否已经充分偏置了所述修改后的目标图案;以及
(h)如果从步骤(g)中得知偏置不充分,则重复步骤(d)到步骤(g),其中步骤(e)中的修改后的目标图案变为步骤(c)中的目标图案。
10、根据权利要求9所述的计算机程序产品,其中如果从步骤(g)中得知偏置充分,则根据所述修改后的目标图案生成经优化的掩模。
11、根据权利要求8所述的计算机程序产品,其中在步骤(c)中,所述至少两个部分彼此相邻。
12、根据权利要求8所述的计算机程序产品,其中步骤(c)进一步包括如下步骤:
(i)定义具有参数的所述目标图案的区域,该参数大于或等于给定照射系统的λ/NA;
(ii)相对于所述至少两个用于评估的部分的其中之一来定位该区域的矩心。
13、根据权利要求12所述的计算机程序产品,其中所述区域对应于圆,所述参数对应于该圆的半径。
14、根据权利要求8所述的计算机程序产品,其中步骤(d)进一步包括以下步骤:
(i)为所述至少两个部分当中的每个部分生成一系列等式;以及
(ii)将接近于0的因子设置为0,以便生成稀疏矩阵。
15、一种利用基于模型的光学邻近校正(MOPC)来对将被形成在衬底表面上的图案进行优化的设备,所述设备包括:
辐射系统,用于提供投影束;
照射器,用于接收所述辐射投影束并且将调节过的辐射束投影到掩模的一部分上;以及
投影系统,其具有数值孔径(“NA”),以用于将掩模的对应的受辐射部分成像到衬底的目标部分上;以及
计算机系统,用于执行如下步骤:
(a)生成将被成像的目标图案;
(b)根据将被形成在所述衬底表面上的所述目标图案来仿真预测图案;
(c)分割所述目标图案的特征并且选择至少两个部分以用于评估;
(d)通过考虑所述至少两个部分当中的至少一个其他部分的影响来计算所述至少两个部分当中的每个部分所需的偏置量;以及
(e)根据步骤(d)的结果来修改所述目标图案,以便优化所述掩模图案。
16、根据权利要求15所述的设备,所述计算机系统进一步执行以下步骤:
(f)根据步骤(e)中的所述修改后的目标图案来仿真第二预测图案;
(g)分析该第二预测图案,以便确定为了优化所述掩模图案是否已经充分偏置了所述修改后的目标图案;以及
(h)如果从步骤(g)中得知偏置不充分,则重复步骤(d)到步骤(g),其中步骤(e)中的修改后的目标图案变为步骤(c)中的目标图案。
17、根据权利要求16所述的方法,其中如果从步骤(g)中得知偏置充分,则根据所述修改后的目标图案生成经优化的掩模。
18、根据权利要求15所述的方法,其中在步骤(c)中,所述至少两个部分彼此相邻。
19、根据权利要求15所述的方法,其中步骤(c)进一步包括如下步骤:
(i)定义具有参数的所述目标图案的区域,该参数大于或等于给定照射系统的λ/NA;
(ii)相对于所述至少两个用于评估的部分的其中之一来定位该区域的矩心。
20、根据权利要求19所述的方法,其中所述区域对应于圆,所述参数对应于该圆的半径。
21、根据权利要求15所述的方法,其中步骤(d)进一步包括以下步骤:
(i)为所述至少两个部分当中的每个部分生成一系列等式;以及
(ii)将接近于0的因子设置为0,以便生成稀疏矩阵。
CN200610121398A 2005-05-05 2006-04-30 考虑了近邻影响的基于模型的光学邻近校正的设备和方法 Active CN100576085C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/122220 2005-05-05
US11/122,220 US7349066B2 (en) 2005-05-05 2005-05-05 Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence

Publications (2)

Publication Number Publication Date
CN1892443A true CN1892443A (zh) 2007-01-10
CN100576085C CN100576085C (zh) 2009-12-30

Family

ID=36636207

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200610121398A Active CN100576085C (zh) 2005-05-05 2006-04-30 考虑了近邻影响的基于模型的光学邻近校正的设备和方法

Country Status (7)

Country Link
US (1) US7349066B2 (zh)
EP (1) EP1720062A3 (zh)
JP (1) JP4464365B2 (zh)
KR (1) KR100860329B1 (zh)
CN (1) CN100576085C (zh)
SG (1) SG126931A1 (zh)
TW (1) TWI334961B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101311822B (zh) * 2007-05-23 2011-03-23 中芯国际集成电路制造(上海)有限公司 光学近距修正的方法
CN101295325B (zh) * 2007-04-23 2011-09-21 台湾积体电路制造股份有限公司 为掩模设计执行数据准备的系统和方法
CN101995763B (zh) * 2009-08-17 2012-04-18 上海宏力半导体制造有限公司 光学邻近校正方法
CN110709779A (zh) * 2017-06-06 2020-01-17 Asml荷兰有限公司 测量方法和设备
CN113168121A (zh) * 2018-12-07 2021-07-23 Asml荷兰有限公司 基于局部电场来调整图案化过程的模型中的目标特征的方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100874913B1 (ko) * 2006-12-12 2008-12-19 삼성전자주식회사 마스크 패턴을 배치하는 방법 및 이를 이용한 장치
US8341561B2 (en) * 2006-12-12 2012-12-25 Samsung Electronics Co., Ltd. Methods of arranging mask patterns and associated apparatus
US8365107B2 (en) 2007-01-18 2013-01-29 Nikon Corporation Scanner based optical proximity correction system and method of use
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
KR101317844B1 (ko) * 2007-07-06 2013-10-11 삼성전자주식회사 마스크 패턴을 배치하는 방법 및 이를 이용한 장치
JP4952420B2 (ja) * 2007-07-18 2012-06-13 大日本印刷株式会社 多重露光技術用フォトマスクの設計パタン検証方法
JP2009093138A (ja) * 2007-09-19 2009-04-30 Canon Inc 原版データの生成方法、原版作成方法、露光方法、デバイス製造方法及び原版データを作成するためのプログラム
JP5224853B2 (ja) * 2008-02-29 2013-07-03 株式会社東芝 パターン予測方法、パターン補正方法、半導体装置の製造方法、及びプログラム
JP5463016B2 (ja) * 2008-09-09 2014-04-09 株式会社ニューフレアテクノロジー パターンデータ作成方法
US8136069B2 (en) * 2009-04-14 2012-03-13 International Business Machines Corporation Accurate approximation of resistance in a wire with irregular biasing and determination of interconnect capacitances in VLSI layouts in the presence of Catastrophic Optical Proximity Correction
JP5627394B2 (ja) * 2010-10-29 2014-11-19 キヤノン株式会社 マスクのデータ及び露光条件を決定するためのプログラム、決定方法、マスク製造方法、露光方法及びデバイス製造方法
US8619236B2 (en) * 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
JP6108693B2 (ja) 2012-06-08 2017-04-05 キヤノン株式会社 パターン作成方法
CN103513506B (zh) * 2012-06-19 2016-04-13 上海华虹宏力半导体制造有限公司 光学临近效应修正方法
JP5674866B2 (ja) * 2013-06-26 2015-02-25 株式会社ニューフレアテクノロジー パターンデータ作成方法、マスク作成方法、半導体装置の製造方法、パターン作成方法及びプログラム
CN103744265B (zh) * 2014-01-29 2016-09-07 上海华力微电子有限公司 改善工艺窗口的光学临近修正方法
KR102330732B1 (ko) * 2014-04-02 2021-11-23 케이엘에이 코포레이션 마스크들을 위한 고밀도 레지스트레이션 맵들을 생성하기 위한 방법, 시스템 및 컴퓨터 프로그램 제품
US10430543B2 (en) * 2014-10-04 2019-10-01 Synopsys, Inc. Matrix reduction for lithography simulation
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10534257B2 (en) * 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
CN117454831B (zh) * 2023-12-05 2024-04-02 武汉宇微光学软件有限公司 一种掩模版图形优化方法、系统及电子设备

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5555532A (en) * 1984-05-23 1996-09-10 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for target imaging with sidelooking sonar
US5448687A (en) * 1988-09-13 1995-09-05 Computer Design, Inc. Computer-assisted design system for flattening a three-dimensional surface and for wrapping a flat shape to a three-dimensional surface
EP0641993B1 (en) * 1993-09-03 1999-06-30 Canon Kabushiki Kaisha Shape measuring apparatus
JP2642070B2 (ja) * 1994-11-07 1997-08-20 インターナショナル・ビジネス・マシーンズ・コーポレイション 四角形メッシュの生成方法及びシステム
US5704024A (en) * 1995-07-20 1997-12-30 Silicon Graphics, Inc. Method and an apparatus for generating reflection vectors which can be unnormalized and for using these reflection vectors to index locations on an environment map
JP3358169B2 (ja) * 1996-08-30 2002-12-16 インターナショナル・ビジネス・マシーンズ・コーポレーション 鏡面レンダリング方法及び装置
JP3551660B2 (ja) * 1996-10-29 2004-08-11 ソニー株式会社 露光パターンの補正方法および露光パターンの補正装置および露光方法
US5949424A (en) * 1997-02-28 1999-09-07 Silicon Graphics, Inc. Method, system, and computer program product for bump mapping in tangent space
US6208347B1 (en) * 1997-06-23 2001-03-27 Real-Time Geometry Corporation System and method for computer modeling of 3D objects and 2D images by mesh constructions that incorporate non-spatial data such as color or texture
US6850236B2 (en) * 1998-02-17 2005-02-01 Sun Microsystems, Inc. Dynamically adjusting a sample-to-pixel filter in response to user input and/or sensor input
US6577312B2 (en) * 1998-02-17 2003-06-10 Sun Microsystems, Inc. Graphics system configured to filter samples using a variable support filter
AU5688199A (en) * 1998-08-20 2000-03-14 Raycer, Inc. System, apparatus and method for spatially sorting image data in a three-dimensional graphics pipeline
US6771264B1 (en) * 1998-08-20 2004-08-03 Apple Computer, Inc. Method and apparatus for performing tangent space lighting and bump mapping in a deferred shading graphics processor
US6313842B1 (en) * 1999-03-03 2001-11-06 Discreet Logic Inc. Generating image data
US6664955B1 (en) * 2000-03-15 2003-12-16 Sun Microsystems, Inc. Graphics system configured to interpolate pixel values
US6426755B1 (en) * 2000-05-16 2002-07-30 Sun Microsystems, Inc. Graphics system using sample tags for blur
US6825851B1 (en) * 2000-08-23 2004-11-30 Nintendo Co., Ltd. Method and apparatus for environment-mapped bump-mapping in a graphics system
US6665856B1 (en) * 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US6850243B1 (en) * 2000-12-07 2005-02-01 Nvidia Corporation System, method and computer program product for texture address operations based on computations involving other textures
US6778181B1 (en) * 2000-12-07 2004-08-17 Nvidia Corporation Graphics processing system having a virtual texturing array
JP3706364B2 (ja) * 2001-10-09 2005-10-12 アスムル マスクツールズ ビー.ブイ. 2次元フィーチャ・モデルの較正および最適化方法
US7046245B2 (en) * 2001-10-10 2006-05-16 Sony Computer Entertainment America Inc. System and method for environment mapping
US6763514B2 (en) 2001-12-12 2004-07-13 Numerical Technologies, Inc. Method and apparatus for controlling rippling during optical proximity correction
US6753870B2 (en) * 2002-01-30 2004-06-22 Sun Microsystems, Inc. Graphics system configured to switch between multiple sample buffer contexts
US7082596B2 (en) 2002-11-27 2006-07-25 Synopsys, Inc. Simulation-based selection of evaluation points for model-based optical proximity correction
US7043712B2 (en) * 2003-09-09 2006-05-09 International Business Machines Corporation Method for adaptive segment refinement in optical proximity correction
US7856606B2 (en) * 2004-03-31 2010-12-21 Asml Masktools B.V. Apparatus, method and program product for suppressing waviness of features to be printed using photolithographic systems

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101295325B (zh) * 2007-04-23 2011-09-21 台湾积体电路制造股份有限公司 为掩模设计执行数据准备的系统和方法
CN101311822B (zh) * 2007-05-23 2011-03-23 中芯国际集成电路制造(上海)有限公司 光学近距修正的方法
CN101995763B (zh) * 2009-08-17 2012-04-18 上海宏力半导体制造有限公司 光学邻近校正方法
CN110709779A (zh) * 2017-06-06 2020-01-17 Asml荷兰有限公司 测量方法和设备
US11243473B2 (en) 2017-06-06 2022-02-08 Asml Netherlands B.V. Measurement method and apparatus
CN110709779B (zh) * 2017-06-06 2022-02-22 Asml荷兰有限公司 测量方法和设备
CN113168121A (zh) * 2018-12-07 2021-07-23 Asml荷兰有限公司 基于局部电场来调整图案化过程的模型中的目标特征的方法
CN113168121B (zh) * 2018-12-07 2024-04-02 Asml荷兰有限公司 基于局部电场来调整图案化过程的模型中的目标特征的方法

Also Published As

Publication number Publication date
TWI334961B (en) 2010-12-21
EP1720062A2 (en) 2006-11-08
SG126931A1 (en) 2006-11-29
CN100576085C (zh) 2009-12-30
KR100860329B1 (ko) 2008-09-25
US7349066B2 (en) 2008-03-25
JP2006313353A (ja) 2006-11-16
KR20060115660A (ko) 2006-11-09
US20060250589A1 (en) 2006-11-09
TW200707080A (en) 2007-02-16
EP1720062A3 (en) 2008-07-23
JP4464365B2 (ja) 2010-05-19

Similar Documents

Publication Publication Date Title
CN100576085C (zh) 考虑了近邻影响的基于模型的光学邻近校正的设备和方法
KR101185463B1 (ko) Na-시그마 노광세팅 및 디바이스 레이아웃을 이용하는 스캐터링 바아 opc에 대한 동시 최적화 방법, 프로그램물 및 장치
CN102054092B (zh) 对于全芯片源的图案选择和掩模优化
CN101738871B (zh) 用于光刻术模型校准的图案选择
TWI446116B (zh) 執行以模型為基礎之掃描器調諧的方法
CN100543588C (zh) 产生具有光学邻近校正特征的掩模的方法和器件制造方法
KR101527496B1 (ko) 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델
KR102585137B1 (ko) 특성 패턴을 생성하고 기계 학습 모델을 트레이닝하는 방법들
US20230107556A1 (en) Machine learning based subresolution assist feature placement
KR102063229B1 (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
CN114981724A (zh) 用于改善掩模图案生成一致性的方法
TW202032255A (zh) 用於在嵌塊邊界處產生圖案化器件圖案之方法
US20230267711A1 (en) Apparatus and method for selecting informative patterns for training machine learning models
TW201732451A (zh) 用於製程窗特性之裝置及方法
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
TWI842639B (zh) 用於增強成像至基板上之圖案的目標特徵之方法和系統
WO2024037859A1 (en) Method for radiation spectrum aware souce mask optimization for lithography
TW202240316A (zh) 依據微影設備或製程特徵所特徵化之表示選擇圖案
WO2023016752A1 (en) Match the aberration sensitivity of the metrology mark and the device pattern

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ASML HOLLAND CO., LTD.

Free format text: FORMER OWNER: ASML FRISKET TOOLS B.V.

Effective date: 20140217

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20140217

Address after: Holland Weide Eindhoven

Patentee after: ASML Holland Co., Ltd.

Address before: Holland Weierde Eindhoven

Patentee before: ASML Frisket Tools B.V.