CN1804848A - 用于改进光学邻近校正的方法 - Google Patents

用于改进光学邻近校正的方法 Download PDF

Info

Publication number
CN1804848A
CN1804848A CNA2005101175593A CN200510117559A CN1804848A CN 1804848 A CN1804848 A CN 1804848A CN A2005101175593 A CNA2005101175593 A CN A2005101175593A CN 200510117559 A CN200510117559 A CN 200510117559A CN 1804848 A CN1804848 A CN 1804848A
Authority
CN
China
Prior art keywords
wafer
process modeling
mask
sub
technology
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005101175593A
Other languages
English (en)
Other versions
CN100465971C (zh
Inventor
崔玉萍
S·M·曼斯费尔德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1804848A publication Critical patent/CN1804848A/zh
Application granted granted Critical
Publication of CN100465971C publication Critical patent/CN100465971C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明描述了一种用于实施基于模型的光学邻近校正(MBOPC)的方法和一种用于实施MBOPC的系统,其中所述工艺模型被分解为常数工艺模型项和图形依赖部分。通过所述常数工艺模型项修改预期的晶片目标以形成在MBOPC工艺中用作新目标的模拟目标。模型的图形依赖部分被用作MBOPC算法中的工艺模型。从而获得了导致跨芯片线宽变化得到改善的最终掩模设计,和更鲁棒的MBOPC工艺。

Description

用于改进光学邻近校正的方法
技术领域
本发明一般涉及半导体集成电路(IC)工艺技术,具体涉及半导体IC的制造中使用的光刻,更具体涉及光学邻近校正。
背景技术
先进光刻技术的出现和芯片制造者的努力以接近遵循预言芯片上元件的数量指数增长的摩尔定律,以及晶片上元件的缩小使用于自动芯片设计的设计工具的工艺面临极大挑战。通过玻璃掩模上的铬在硅晶片上通过光刻技术印刻芯片。随着芯片上的元件变得更小,它们现在即将到达光刻工艺能力的极限。
使用光刻工艺通过掩模在硅晶片上印刷集成电路的一个最常见的问题是掩模形状的保真度的损失。晶片形状的尺寸一般远小于在光刻工艺中使用的光的波长。与该印刻的光刻工艺相关的非线性和与光相关的物理定律(包括光波的衍射)使得刻痕特别不可预见。例如,参照图1A-1B,图1所示的掩模上的矩形101,在抗蚀剂上投射为椭圆102,直角变圆,而且形状的宽度缩小,如图1B所示。除了与光学影响相关的非线性,在曝光晶片和晶片上的光敏材料(即,光致抗蚀剂,等同称作抗蚀剂)之后的显影工艺也会增加与特征的临界尺寸相关的不确定性。主要涉及与抗蚀剂相关的曝光和显影效果的其它影响的存在会加剧失真。晶片的形状因为光的衍射和散射以及其它有关的光致抗蚀剂材料的光学和化学影响而变形。这些光刻工艺影响的结合就是公知的光学邻近影响。
为了解决光学邻近的问题,并增加晶片印刷的保真度,掩模设计者通过有意地和系统地扭曲掩模上的原始形状来考虑由上述光刻工艺影响带来的变形。这些失真的净结果是最终在晶片上印刻的形状看似满足产成以在芯片制造中获得预期产量的设计规则的目标或预期图像。这些方法通常称作光学邻近校正(OPC)。可以通过模拟图像和例如蚀刻工艺的晶片上工艺,对比模拟图像和目标图像,并调整掩模设计以使模拟图像更加近似目标图像,来实施OPC。该方法,也公知为基于模型的OPC(MBOPC),仿真主要造成形状变形的物理和光学影响。这些方法的核心是计算机模拟程序,这些程序在给定适当的光学和物理参数以及掩模上的物体的原始尺寸的情况下,会在一定的精度内预测晶片上的物体的印刷尺寸。在基于模型的光学邻近校正(MBOPC)的校正相位中,掩模上的形状被反复修改,以使所得输出在可接受标准内近似预期的图像或晶片上印刻的形状。这种方法自动变形现有的掩模形状,以在晶片上获得目标尺寸。
随着目标特征尺寸继续缩减,例如交替型相移掩模(altPSM)或次分辨率辅助特征(SRAFs)的分辨率提高技术(RET)可以用于印刷预期的临界尺寸(CD)并提供充足的光刻工艺范围。由于掩模尺寸和实际晶片上目标尺寸之间的巨大差异,RET工艺需要对OPC算法造成显著挑战的重过度曝光和大蚀刻修整。例如,给定在掩模上的初始设计目标CD,就可以将最终的晶片多晶硅尺寸缩减约50%。由于OPC算法基于模拟的目标形状校正掩模形状,所以应用到掩模形状的固定校正会导致通过一定努力收敛于一结果或者根本不收敛的过量校正或不足校正。另外,即使找到了结果,也会有大的跨芯片线宽变化(ACLV),具体地说,存在控制栅极和多晶硅线宽的问题。
基于模型的OPC的成功取决于能够预测光刻工艺影响的非常精确的模拟器。掩模上的点上的图像的模拟计算上非常昂贵,而且通常限于选择的点。模拟器预测对应于掩模上选择的点的光刻影响。常规OPC算法在选择的点上对比模拟和目标,并且如果差别超过预定的阈值,将通常通过以固定的量修改掩模上的边缘段来修改掩模形状。该工艺可以通过参考图2A-2F更好地理解。
例如,图2示出了对应于晶片上的最终图形的预期的形状和尺寸的现有技术目标形状307。对应的掩模形状700最初被设定为和目标形状相同。在这个实例中,假设目标形状307为形状307的边界内的暗特征。在一部分目标和掩模形状的放大视图201中,示出了掩模形状700的分割。第一段F1被定义为点211和212,并与定义为点212和213的第二段F2相连。OPC软件将通常分割掩模形状700并允许每段独立移动,以产生最终的校正掩模形状。为了确定如何移动每段,沿横穿段的单个切面模拟光刻工艺,这些切面称作模拟位。这里,切面A-A’是横穿第一段F1的模拟位,它在点215处与该段相交。切面线B-B’是沿第二段F2的模拟位,它在点225处与段F2相交。通过只沿每段的单个模拟位模拟,OPC软件能够以合理的次数模拟横穿整个芯片的光刻行为。
参考图2B,产生校正掩模形状的第一步是确定初始误差,该误差是将要印刷的每段的边缘(基于模拟)与预期将要印刷的每段的边缘(基于目标层307)的对比。最初,假设掩模形状700与预期的目标层形状307相同,并沿模拟位计算边缘布置误差。图251示出了沿模拟位A-A’的模拟图像强度,而图252示出了沿模拟位B-B’的图像强度。特征的印刷边缘是图像强度超过阈值强度50的位置。216指沿强度超过阈值50的线A-A’的位置,类似地,226指强度超过阈值50的位置。最初,模拟位的印刷边缘216、226都分别远落入这些位置的目标边缘215、225之内。这指段F1、F2的掩模形状700都需要向外移动,或者段F1向右而段F2向上。由于印刷边缘216、226分别落在离目标边缘215、225很大的一段距离上,掩模边缘段F1、F2被移动一大段距离以试图补偿。
产生校正掩模形状的下一步是根据计算的误差移动掩模边缘段,然后在模拟位上再模拟边缘布置。参考图2C,已经将掩模边缘段F2向外移动越过模拟位A-A’的位置。图251’示出了沿位A-A’的再模拟的图像强度,而图252’示出了沿位B-B’的图像强度。可以看出,段F1的计算边缘216’现在显示移动到远超出边缘目标位置215。这表明对于OPC算法,段F1需要向内或向左移动。另一方面,发现段F2的边缘226’正在收敛于目标边缘225。
图2D示出了移动段F1和F2的下一次迭代之后的掩模边缘700”。凹口705开始在段F1上出现。然后再模拟模拟位A-A’(图251”)和B-B’(图252”)处的强度并计算边缘布置误差。段F2的边缘226”现在完全收敛于沿模拟位B-B’的目标边缘225。然而,沿段F2的掩模边缘的布置保留在掩模形状700”内包围的模拟位A-A’之外。由此,沿模拟位A-A’的印刷边缘216”仍在目标边缘215之外。这指对于OPC算法段F1的掩模边缘仍需进一步向左移动。
这样,如图2E所示,OPC算法将再次移动段F1。掩模边缘700被再次移动,而且现在在段F1处看到了深凹口705’。如图252所示,段F2没有移动,因为它的边缘226收敛于沿模拟位B-B’的目标边缘225。模拟之后,尽管在段F1处产生的大凹口705’,根据OPC算法计算的边缘布置误差继续指出印刷边缘216仍远离目标边缘215。这是掩模边缘段F2被置于模拟位A-A’之外的结果。
在没有任何减小位A-A’上的边缘布置误差的实际进展的情况下,将继续该迭代处理。在某些点上,OPC软件将放弃试图固定该点,并将适当留下大凹口705’,如图2F所示。该解决方案的主要问题是段F1处的大凹口705’会引起将要印刷的非常狭窄的特征(具有宽度WN)。通过对比沿切面C-C’(不用于OPC校正)的模拟轮廓和沿位A-A’的用于OPC校正的模拟轮廓,很明显,印刷边缘216可以深落入目标边缘215之内,引起失败的风险。模拟轮廓250示出了可以出现接近凹口的环形(ringring)。
这些误差的根本原因在于掩模边缘700与目标边缘307的大分离D。此分离D引起某些模拟位超出了掩模边缘,导致模拟位不能精确预测沿段的行为。
因此,需要能够提高OPC校正算法的鲁棒性,能够提高用于如过度曝光和蚀刻修整的RET方法的OPC算法的方法,以及提供光刻工艺鲁棒性的改进并提高ACLV。
发明内容
因此本发明的一个目的是提供用于例如通过实施基于模型的光学邻近校正(MBOPC)设计掩模的方法和系统,其改善了跨芯片线宽变化。
本发明的另一个目的是提供与现存MBOPC软件兼容的的MBOPC方法和系统。
本发明的另外一个目是提供鲁棒的并收敛于最小化缺陷的最终掩模设计的MBOPC方法和系统。
本发明的另一个目是提供能够结合多工艺的例如MBOPC方法和系统的掩模设计方法。
因此,本发明旨在设计用于在晶片上形成图形的掩模的方法,该方法包括以下步骤:提供描述用于在晶片上形成图形的的工艺的工艺模型,其中所述工艺包括使用掩模;将此工艺模型分解为图形依赖工艺模型和常数项;提供预期的晶片目标图形;以所述常数项偏移所述预期的晶片目标图形以形成模拟目标图形;提供初始掩模设计;以及修改所述初始掩模设计以形成最终掩模设计,以便当在所述图形依赖工艺模型中使用所述最终掩模时,获得在可接受标准内匹配所述模拟目标图形的模拟晶片图形。
以另一种方式进行陈述,本发明提供用于通过来自分解的工艺模型的常数偏移修改在MBOPC算法中使用的目标设计,从而修改的目标设计成为MBOPC用于确定何时达到足量的掩模校正的目标。然而,本发明提供使用工艺模型的分解的图形依赖部分实施模拟。
将本发明的掩模设计工艺应用于包括光刻图像模型、抗蚀剂模型、蚀刻模型或其结合的多工艺模型。在此情况下,其中该工艺包括多个子工艺,可以对每个子工艺提供模型,而且每个子工艺被独立分解为图形依赖工艺模型和常数项。给目标设计施加的偏移包括来自每个分解的子工艺模型的常数项,而且根据子工艺的顺序使用分解的图形依赖模型实施模拟。
上面大致略述了本发明的特征和技术优点,以使可以更好地理解后面的本发明的详细描述。下面将描述形成本发明的权利要求的主题的本发明的附加特征和优点。
附图说明
为了更彻底地理解本发明和其优点,现在将参考结合附图的下列描述,附图没有必要按比例绘制。
图1A-1B示出了掩模上的图形(1A)和对应的晶片抗蚀剂图像的图形(1B)作为OPC中使用的模拟光学和抗蚀剂模型。
图2A-2F示出了常规OPC程序的步骤,其中通过调整段位置来进行对掩模的校正。
图3示出了常规OPC算法的方法步骤的流程图。
图4示出了包括掩模设计的光学规则检查(ORC)的常规OPC算法的方法步骤的流程图。
图5示出了根据本发明的OPC处理的实施例的流程图。
图6示出了作为穿过晶片的图形间隔(间距)的函数的特征尺寸的典型变化。
图7示出了将特征尺寸的变化分解为图形依赖项的和常数项。
图8示出了根据本发明包括ORC的OPC处理的实施例的流程图。
图9A示出了用于OPC的现有技术调整的目标与根据本发明调整的目标的对比。
图9B示出了使用现有技术调整的目标从OPC得到的掩模设计。
图9C示出了使用根据本发明调整的目标从OPC得到的掩模设计。
图10示出了使用现有技术OPC模型获得的边缘布置误差(EPE)分布与在根据本发明实施OPC时获得的EPE分布的对比。
图11示出了使用多处理模型的本发明的实施例的流程图。
具体实施方式
在下面的描述中,提供多个具体细节,以提供对本发明的彻底理解。然而,对本领域的技术人员来说明显的是,可以在没有这些具体细节的情况下实施本发明。
现在参考附图,其中不必按比例显示描绘的要素并且其中在若干视图中相同的标号代表类似或相同要素。
参考图3,示出了常规的基于模型的光学邻近校正(MBOPC)的流程图。MBOPC工艺由两个主要部分构成:模型产生,称作模型构造60,包括模块301-304,以及使用该模型以产生基于在晶片上将要形成的半导体集成电路的设计的光掩模设计,称作数据准备30,包括模块307-310。模型构造工艺60通常对每个在整个半导体制造工艺中使用的半导体印刷工艺执行一次。另一方面,数据准备工艺30在每个将要贯穿半导体制造工艺的半导体设计上被重复。在典型的半导体制造工业中,可能有数百个不同的设计贯穿相同的数据准备工艺。
构造OPC模型(模型构造60)在本领域内是公知的,而这里只进行综述以帮助理解本发明。模型构造60通常需要收集工艺数据(模块301),该数据表示将要用于在晶片上印刷图形的制造工艺。收集的工艺数据基于一组表示典型图形类型的测试图形(模块302),这些典型图形将存在于将要使用制造工艺构造的半导体设计中。工艺模型描述了工艺数据和用于产生工艺数据的测试图形设计之间的关系。通过使该关系适合于预定模型形式来校准模型(模块303)。这可以使用各种数字技术实现。校准步骤303的结果是OPC模型,或工艺模型(304),它提供数学方法,以描述当希望光掩模图形通过印刷工艺被转移到晶片上时引入的改变。
MBOPC流程的数据准备步骤(数据准备30)在本领域内也是公知的。提供将要在晶片307上印刷的预期目标作为输入。在典型的实例中,初始晶片目标307源自用于特定半导体芯片或IC的设计。IC设计通常也被用作用于得到初始掩模形状的起始点,并且也被提供作为输入(模块307),该初始掩模形状将通过MBOPC工艺校正。通常,单独处理设计的单层,而该处理是本实例中描述的处理。可以理解,能够同时处理多层。通常给晶片目标上的设计形状施加偏移,以使形状尺寸将与在半导体晶片上预期的最终尺寸相等。这产生了将被MBOPC软件使用作为预期结果的晶片目标层(模块307)。例如,如果在IC设计中指定87nm的CD,而预期目标CD为42nm,那么可以给IC设计施加45nm的偏移,以形成晶片目标层307作为给MBOPC的输入。
使用前面产生的工艺模型304,MBOPC软件然后确定将要施加给初始掩模设计的校正,以确保印刷结果接近晶片目标(307)。一组控制参数(308)用于控制掩模边缘的移动,而且这些控制参数也影响施加给掩模设计的校正。该校正步骤309通常称作基于模型的光学邻近校正(MBOPC),即使可以理解通常可以通过MBOPC工艺校正除了光学邻近影响之外的更多影响。该工艺的最终结果是可以或不可以看似IC设计的掩模设计(310),但是应该产生看似晶片目标(307)的最终晶片图形,至少按照预期的临界尺寸(CDs)。
图4是图3的直接延伸,示出了在最终的掩模设计310上实施的附加的结果检查步骤401。在此情况下,模型构造工艺60(包括模块301-304)与图3中所示相同。数据准备工艺31包括与图3中相同的数据准备工艺(模块307-310),并提供对于每个半导体IC层设计相同的最终掩模设计310。然而,在这个实例中,数据准备31包括检查掩模设计310的附加步骤(模块401),以确保从MBOPC得到的设计310在一组设计规则中是正确的。此设计检查步骤(模块401)通常称作光学规则检查,或ORC,并且需要给掩模设计(310)应用工艺模型(304)并获得(例如,模拟或计算)表示将要印刷的最终晶片形状的轮廓。如上所述,工艺模型304可以包括光学图像,抗蚀剂图像工艺和蚀刻工艺。然后与晶片目标(307)对比并检查这些轮廓,以确定它们满足如可制造性规则的各种规则。这些检查的结果(402)用以确定MBOPC工艺是否成功以及是否应该构造掩模310。
图5是描绘本发明的优选实施例的流程图。如图3中,发明的MBOPC工艺分为两个主要部分:模型构造61,包括模块301-304和附加模块701-703;以及数据准备70,包括模块307和704-708。根据本发明,按与常规模型构造工艺流程60类似的方式校准工艺模型,以产生工艺模型304。在这一点上,进行附加步骤以分解模型(模块701)为两部分。第一模型部分是与图形类型无关的常数项702。通常,此整体偏移项702具有负值,意味着图形要像下面描述的将在数据准备部分70期间被整体变小。第二模型部分是描述掩模图形和最终晶片图形之间的非常数关系的工艺模型的图形依赖部分或部分703(它可以包括一项或多项或函数)。总体,常数项(702)和图形依赖工艺模型部分(703)合起来产生工艺模型(304)。
通过参考图6和7示出了工艺模块304到常数项702和图形依赖工艺模型部分703的分解(模块701)。图6是作为穿过晶片的图形变化特别是在IC层中的各种图形的间距范围的变化的函数的临界尺寸(CD)的典型变化图。图6示出了抗蚀剂显影之后(曲线501)和蚀刻之后(曲线503)的穿过芯片的CD或线宽变化的实例。显影之后的CD曲线501和最终CD(蚀刻之后)曲线503都显示出非常相似的行为,这也就是说蚀刻工艺线宽变化503遵循由抗蚀剂工艺引入的线宽变化趋势501。
图7通过间距示出了净蚀刻工艺变化504的图,该图示出了如何根据本发明在建模期间将蚀刻工艺模型304分解为常数偏移量加非线性图形依赖部分703。
再次参考图5,优选实施例的数据准备70部分包括晶片目标307和作为该流程(类似于图3和4的现有流程)的输入的初始掩模设计(例如,基于芯片或IC设计),并且重复该流程用于将要贯穿具体制造工艺的所有芯片或IC设计。根据本发明,形成发明的目标层705(模块704)包括以常数项702偏移晶片目标307以获得将被MBOPC算法使用的模拟晶片目标705以评估从掩模设计得到的模拟晶片图形的结果,胜于如常规方法那样将模拟晶片图形与预期晶片目标703进行对比。以常数项702偏移晶片目标703通常将导致模拟晶片目标705上的形状大于在常规工艺中预期晶片目标307上的对应形状,如图9A所示。接着在MBOPC(模块707)中通过MBOPC软件以与现有技术方法类似的方式修改掩模层(它最初通常设置为与设计层相同),直到模拟的晶片图形(它可以是例如图像或蚀刻图形的任何图形)在可接受标准内与模拟目标705相匹配。然而在此情况下,只使用工艺模型304的图形依赖部分703作为MBOPC中的模拟工艺模型,而用于校正的控制参数706可能与常规流程中使用的参数不同。MBOPC步骤707的输出为其中施加的校正可以与现有技术工艺中施加的校正不同的校正掩模设计708。
图9C示出了相比于模拟目标尺寸705最终掩模设计708的改进。在此情况下,目标尺寸705以与常数偏移702相等的量大于最终蚀刻目标。在此情况下,拐角相对于现有技术方法具有较少问题,因为模拟位被布置更接近最终掩模尺寸。例如,参考图9B,在常规MBOPC方法中,所得掩模310可以具有窄凹口的尺寸319。然而,最终掩模708具有更符合模拟目标705的形状的轮廓,例如拐角附近的宽度719,如图9C所示。
图8示出了本发明的第二实施例。除了对数据准备部分71中的最终掩模设计708实施结果检查步骤801,这种情况几乎与图5中所示的实施例相同。以与将ORC步骤添加到标准OPC流程(参见图4)相似的方式,将ORC步骤801包括在本发明的优选实施例中。这里,ORC步骤801将模型703的图形依赖部分施加到掩模设计708。与模拟目标705对比并检查所得的轮廓,以确保它们满足设计和可制造性规则。再一次,使用这些检查802的结果以确定MBOPC工艺是否成功以及是否应该构造掩模。
图10示出了当根据本发明实施MBOPC时获得的改善的跨芯片线宽变化(ACLV)。对比对于现有技术MBOPC的所有边缘1010和栅极边缘1011的边缘布置误差分布(EPE)与根据本发明使用MBOPC的所有边缘1020和栅极边缘1021的EPE。当使用本发明的MBOPC时,对于栅极边缘和所有边缘改进了3σEPE分布。
本发明的其它实施例是可能的。例如,参考图11,可以通过使用单独的工艺模型或子工艺解决多工艺步骤。例如,单独的工艺模型可以包括但不限于,掩模工艺模型、光刻图像模型、抗蚀剂模型、蚀刻模型、化学机械抛光(CMP)工艺模型或其结合。在此情况下,使用在工艺步骤i完成之后获得的工艺数据901(i)校准在整个工艺中用于给定步骤i的工艺模型904(i)。使用该数据和测试图形设计902,校准模型904(i)(模块903),产生了用于给定工艺步骤i的校准工艺模型904(i)。然后将该工艺模型904(i)分解(模块905)为常数项906(i)和图形依赖工艺模型部分或部分907(i)用于工艺步骤i。对于需要建模的n个工艺的每个步骤i,可以重复此校准过程(908)。这里,具有两种略微不同的方法可以使用,这取决于建模的工艺和如何收集数据。通过虚线箭头表示这些方法之间的差别,箭头进入用于给定工艺i的校准步骤903,工艺i取决于先前工艺i-1的分解的工艺模型906(i-1)、907(i-1)的结果。在可以通过将要建模的其它工艺完全独立地收集用于给定工艺的数据的情况下,该箭头就不应该存在,而且可以在没有先前工艺模型i-1的信息的情况下构造每个工艺模型i。然而,更典型的情形是,给定工艺取决于先前的工艺步骤,而且收集用于该工艺的数据也通过了先前的工艺步骤。在此情况下,需要箭头(909),因为用于当前工艺i的校准步骤必须使用先前步骤的模型(i-1)以在用于当前步骤i的校准之前预处理测试图形(902)。例如,为了建模光刻工艺之后的蚀刻工艺,蚀刻工艺应该被建模为将光刻后的光致抗蚀剂图形转移为最终蚀刻图形。它不应该被建模为将光掩模图形转移为最终蚀刻图形。因此,光刻工艺模型必须是已知的,以构造基于蚀刻数据和设计测试图形的蚀刻模型。
在本实施例中,数据准备流程非常类似于图5的数据准备流程70。通过工艺模型的常数部分(906,i=1,...,n)将预期的晶片目标307再次偏移(模块910)到模拟目标911。在此情况下,常数项906现在是用于所有工艺模型i到n的所有独立常数项的和。然后将所得模拟目标(911)和控制参数(912)输入MBOPC步骤(913)。然后该步骤将应用各种工艺模型的图形依赖部分907(i),i=1,...,n,以产生校正的掩模设计914。可以按不同的方法施加MBOPC步骤913,但是最常见的方法应该是连续将每个模型施加到设计数据。连续的次序应该匹配将要建模的工艺步骤的顺序。
公认的是,由于顺序的模型处理,给定工艺模型904(i)的图形依赖部分907(i)将结合先前模型(i-1)的图形依赖部分和常数项起作用。例如,当建模光刻工艺时,特征的光刻构图尺寸可以预设为D’=PL(D)+CL,其中D’为特征的光刻后的尺寸,D为特征的光刻前(掩模)的尺寸,PL为图形依赖光刻模型部分,而CL为光刻模型的常数项。如果光刻工艺后进行蚀刻工艺,那么将通过D”=PE(D’)+CE给出对于相同图形的蚀刻后的尺寸,其中D”为蚀刻后的尺寸,D’为光刻后的尺寸,PE为图形依赖蚀刻模型,而CE为蚀刻模型的常数项。因此,可以从使用D”=PE(PL(D)+CL)+CE的掩模尺寸中找到最终的尺寸,这表明将图形依赖蚀刻模型施加到真实的光刻后的图形,不只是图形依赖工艺模型部分。
虽然详细描述了本发明及其优点,但是应该理解,只要不脱离由所附权利要求限定的本发明的精神和范围,可以进行各种改变、替换和改动。

Claims (31)

1.一种设计用于在晶片上形成图形的掩模的方法,该方法包括以下步骤:
提供描述用于将掩模图形转移为晶片图形的工艺的工艺模型;
将所述工艺模型分解为图形依赖工艺模型和常数项;
提供预期的晶片目标图形;
以所述常数项偏移所述预期的晶片目标图形以形成模拟目标图形;
提供初始掩模设计;以及
修改所述初始掩模设计以形成最终掩模设计,以便当在所述图形依赖工艺模型中使用所述最终掩模时,获得在可接受标准内匹配所述模拟目标图形的模拟晶片图形。
2.根据权利要求1的方法,其中所述工艺模型包括掩模工艺模型、光刻图像模型、抗蚀剂模型、蚀刻模型、CMP工艺模型或其结合。
3.根据权利要求1的方法,还包括根据一组设计规则检查所述最终掩模设计。
4.根据权利要求1的方法,其中所述提供所述工艺模型的步骤包括:
提供测试掩模设计;
根据所述测试掩模设计形成晶片测试图形;
收集关于所述形成和所述晶片测试图形的数据;以及
根据所述数据校准所述工艺模型。
5.根据权利要求1的方法,还包括使用所述工艺在晶片上形成图形,其中所述工艺包括使用所述最终掩模。
6.根据权利要求1的方法,其中所述初始掩模设计源自所述预期的晶片目标图形。
7.根据权利要求1的方法,其中所述修改所述初始掩模的步骤包括基于模型的光学邻近校正。
8.根据权利要求1的方法,其中所述工艺还包括多个子工艺。
9.根据权利要求4的方法,其中所述工艺还包括多个子工艺,并且其中对于每个所述子工艺实施所述形成晶片测试图形、收集数据和校准所述工艺模型的步骤。
10.根据权利要求9的方法,其中所述每个所述子工艺的数据独立于所述其它子工艺。
11.根据权利要求9的方法,其中对于每个所述子工艺顺序实施所述形成晶片测试图形、收集数据和校准所述工艺模型的步骤,并且其中所述校准至少一个所述子工艺模型的步骤依赖于先前子工艺的所述子工艺模型。
12.一种机器可读的程序存储装置,有形地包括所述机器可执行的指令的程序以实施设计用于将掩模图形转移为晶片图形的掩模方法步骤,该方法包括以下步骤:
提供描述用于将掩模图形转移为晶片图形的工艺的工艺模型;
将所述工艺模型分解为图形依赖工艺模型和常数项;
提供预期的晶片目标图形;
以所述常数项偏移所述预期的晶片目标图形以形成模拟目标图形;
提供初始掩模设计;以及
修改所述初始掩模设计以形成最终掩模设计,以便当在所述图形依赖工艺模型中使用所述最终掩模时,获得在可接受标准内匹配所述模拟目标图形的模拟晶片图形。
13.根据权利要求12的程序存储装置,其中所述工艺模型包括掩模工艺模型、光刻图像模型、抗蚀剂模型、蚀刻模型、CMP工艺模型或其结合。
14.根据权利要求12的程序存储装置,还包括根据一组设计规则检查所述最终掩模设计。
15.根据权利要求12的程序存储装置,其中所述提供所述工艺模型的步骤包括:
提供测试掩模设计;
根据所述测试掩模设计收集关于形成晶片测试图形的数据并收集关于所述晶片测试图形的数据;以及
根据所述数据校准所述工艺模型。
16.根据权利要求12的程序存储装置,其中所述初始掩模设计源自所述预期的晶片目标图形。
17.根据权利要求12的程序存储装置,其中所述修改所述初始掩模设计的步骤包括基于模型的光学邻近校正。
18.根据权利要求12的程序存储装置,其中所述工艺还包括多个子工艺。
19.根据权利要求15的程序存储装置,其中所述工艺还包括多个子工艺,并且其中对于每个所述子工艺实施所述收集数据和校准所述工艺模型的步骤。
20.根据权利要求19的程序存储装置,其中所述每个所述子工艺的数据独立于所述其它子工艺。
21.根据权利要求19的程序存储装置,其中对于每个所述子工艺顺序实施所述收集数据和校准所述工艺模型的步骤,并且其中所述校准至少一个所述子工艺模型的步骤依赖于先前子工艺的所述子工艺模型。
22.一种计算机程序产品,包括计算机可用媒介,所述计算机可用媒介具有嵌入其中用于设计用于在晶片上形成图形的掩模的计算机可读程序代码装置,所述计算机程序产品中的所述计算机可读程序代码装置包括:
用于使计算机提供描述用于将掩模图形转移为晶片图形的工艺的工艺模型的计算机可读程序代码装置;
用于使计算机将所述工艺模型分解为图形依赖工艺模型和常数项的计算机可读程序代码装置;
用于使计算机提供预期的晶片目标图形的计算机可读程序代码装置;
用于使计算机以所述常数项偏移所述集成电路设计以形成模拟目标图形的计算机可读程序代码装置;
用于使计算机提供初始掩模设计的计算机可读程序代码装置;以及
用于使计算机修改所述初始掩模设计以形成最终掩模设计,以便当在所述图形依赖工艺模型中使用所述最终掩模时,获得在可接受标准内匹配所述模拟目标图形的模拟晶片图形的计算机可读程序代码装置。
23.根据权利要求22的计算机程序产品,其中所述工艺模型包括掩模工艺模型、光刻图像模型、抗蚀剂模型、蚀刻模型、CMP工艺模型或其结合。
24.根据权利要求22的计算机程序产品,还包括用于使计算机根据一组设计规则检查所述最终掩模设计的计算机可读程序代码装置。
25.根据权利要求22的计算机程序产品,其中用于使计算机提供所述工艺模型的所述计算机可读程序代码装置还包括:
用于使计算机提供测试掩模设计的计算机可读程序代码装置;
用于使计算机根据所述测试掩模设计收集关于所述形成晶片测试图形的数据并收集关于所述晶片测试图形的数据的计算机可读程序代码装置;以及
用于使计算机根据所述数据校准所述工艺模型的计算机可读程序代码装置。
26.根据权利要求22的计算机程序产品,其中所述初始掩模设计源自所述预期的晶片目标图形。
27.根据权利要求22的计算机程序产品,其中用于使计算机修改所述初始掩模的计算机可读程序代码装置包括基于模型的光学邻近校正。
28.根据权利要求22的计算机程序产品,其中所述工艺还包括多个子工艺。
29.根据权利要求25的计算机程序产品,其中所述工艺还包括多个子工艺,并且其中所述计算机程序产品还包括用于使计算机根据所述测试掩模设计收集关于形成晶片测试图形的数据并收集关于所述晶片测试图形的数据的计算机可读程序代码装置,以及用于使计算机对于每个所述子工艺根据所述数据校准所述工艺模型的计算机可读程序代码装置。
30.根据权利要求29的计算机程序产品,其中所述每个所述子工艺的数据独立于所述其它子工艺。
31.根据权利要求29的计算机程序产品,其中顺序对于每个所述子工艺实施所述用于使计算机根据所述测试掩模设计收集关于所述形成晶片测试图形的数据和收集关于所述晶片测试图形的数据以及用于使计算机根据所述数据校准所述工艺模型的计算机可读程序代码装置,并且其中所述用于使计算机校准至少一个所述子工艺模型的计算机可读程序代码装置依赖于先前子工艺的所述子工艺模型。
CNB2005101175593A 2004-11-05 2005-11-04 用于改进光学邻近校正的方法 Expired - Fee Related CN100465971C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/904,355 2004-11-05
US10/904,355 US7350183B2 (en) 2004-11-05 2004-11-05 Method for improving optical proximity correction

Publications (2)

Publication Number Publication Date
CN1804848A true CN1804848A (zh) 2006-07-19
CN100465971C CN100465971C (zh) 2009-03-04

Family

ID=36317792

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005101175593A Expired - Fee Related CN100465971C (zh) 2004-11-05 2005-11-04 用于改进光学邻近校正的方法

Country Status (2)

Country Link
US (1) US7350183B2 (zh)
CN (1) CN100465971C (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101201874B (zh) * 2006-12-11 2010-09-01 国际商业机器公司 用于执行opc验证的方法和系统
CN101398859B (zh) * 2007-09-29 2010-09-08 上海华虹Nec电子有限公司 把光罩偏差放大系数引入光学邻近效应建模的方法
CN101192252B (zh) * 2006-11-30 2010-10-13 国际商业机器公司 用于设计掩模的方法和设备
CN101266402B (zh) * 2007-03-16 2010-11-17 联华电子股份有限公司 掩模图案以及其形成方法
CN101452499B (zh) * 2007-11-30 2011-01-05 鸿富锦精密工业(深圳)有限公司 元件结构图形智能校正系统及方法
CN101329506B (zh) * 2007-06-18 2011-03-23 中芯国际集成电路制造(上海)有限公司 光学近距修正方法、光掩模版制作方法及图形化方法
CN101738848B (zh) * 2008-11-24 2011-11-02 上海华虹Nec电子有限公司 基于可变光酸扩散长度建立opc模型的方法
CN101311825B (zh) * 2007-05-21 2012-05-30 海力士半导体有限公司 修正光学邻近效应的方法
CN101571669B (zh) * 2008-04-28 2012-12-12 中芯国际集成电路制造(北京)有限公司 基于模型的校验光学邻近修正的方法
CN105573048A (zh) * 2014-10-13 2016-05-11 中芯国际集成电路制造(上海)有限公司 一种光学临近修正模型的优化方法

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
DE10353798A1 (de) * 2003-11-13 2005-06-23 Infineon Technologies Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
DE102004047263B4 (de) * 2004-09-24 2010-04-22 Qimonda Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
US7730432B1 (en) 2005-03-30 2010-06-01 Tela Innovations, Inc. Method and system for reshaping a transistor gate in an integrated circuit to achieve a target objective
US8490043B2 (en) 2005-05-06 2013-07-16 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US7441211B1 (en) * 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US20070226674A1 (en) * 2006-03-27 2007-09-27 Henning Haffner System and method for semiconductor device fabrication using modeling
US7510960B2 (en) * 2006-08-29 2009-03-31 International Business Machines Corporation Bridge for semiconductor internal node
JP4922112B2 (ja) 2006-09-13 2012-04-25 エーエスエムエル マスクツールズ ビー.ブイ. パターン分解フィーチャのためのモデルベースopcを行うための方法および装置
US7512927B2 (en) * 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
JP4328811B2 (ja) * 2007-02-27 2009-09-09 キヤノン株式会社 レジストパターン形状予測方法、プログラム及びコンピュータ
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20090121357A1 (en) * 2007-11-08 2009-05-14 International Business Machines Corporation Design structure for bridge of a seminconductor internal node
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8161422B2 (en) * 2009-01-06 2012-04-17 International Business Machines Corporation Fast and accurate method to simulate intermediate range flare effects
US7900169B2 (en) * 2009-01-06 2011-03-01 International Business Machines Corporation OPC model calibration process
US8166423B2 (en) * 2009-09-08 2012-04-24 International Business Machines Corporation Photomask design verification
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9507250B2 (en) * 2009-12-17 2016-11-29 International Business Machines Corporation Optical proximity correction for improved electrical characteristics
JP5081276B2 (ja) * 2010-06-02 2012-11-28 株式会社日立ハイテクノロジーズ パターン計測装置、パターン計測方法、およびプログラム
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
US8577489B2 (en) * 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8515715B2 (en) 2011-06-17 2013-08-20 International Business Machines Corporation Method, system and program storage device for simulating electronic device performance as a function of process variations
US9122160B2 (en) * 2013-03-15 2015-09-01 Globalfoundries Singapore Pte. Ltd. Method and apparatus for performing optical proximity and photomask correction
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
US10496780B1 (en) * 2016-10-19 2019-12-03 Mentor Graphics Corporation Dynamic model generation for lithographic simulation
CN110398879B (zh) * 2019-07-25 2023-03-24 上海华力微电子有限公司 Opc修正程序的mrc取值方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6665856B1 (en) * 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US6833221B2 (en) * 2001-01-05 2004-12-21 Litel Instruments Method and apparatus for proper ordering of registration data
JP4460794B2 (ja) * 2001-04-23 2010-05-12 株式会社東芝 露光マスクのパターン補正方法、パターン形成方法およびプログラム
AU2003274370A1 (en) * 2002-06-07 2003-12-22 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
SG137657A1 (en) * 2002-11-12 2007-12-28 Asml Masktools Bv Method and apparatus for performing model-based layout conversion for use with dipole illumination
US7698665B2 (en) * 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
JP4620942B2 (ja) * 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
JP2005099765A (ja) * 2003-08-28 2005-04-14 Toshiba Corp プロセス近接効果の予測モデルの作成方法、工程の管理方法、半導体装置の製造方法、フォトマスクの製造方法およびプログラム
US7155689B2 (en) * 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4524174B2 (ja) * 2003-11-05 2010-08-11 エーエスエムエル マスクツールズ ビー.ブイ. 固有分解に基づくopcモデル
KR101056142B1 (ko) * 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
US20050257178A1 (en) * 2004-05-14 2005-11-17 Daems Walter Pol M Method and apparatus for designing electronic circuits
US7266800B2 (en) * 2004-06-04 2007-09-04 Invarium, Inc. Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes
US7340713B2 (en) * 2004-09-29 2008-03-04 Synopsys, Inc. Method and apparatus for determining a proximity correction using a visible area model
US7197722B2 (en) * 2004-09-30 2007-03-27 Intel Corporation Optimization of sample plan for overlay
US7627837B2 (en) * 2004-10-15 2009-12-01 Takumi Technology Corp. Model-based pattern characterization to generate rules for rule-model-based hybrid optical proximity correction
DE102005005591B3 (de) * 2005-02-07 2006-07-20 Infineon Technologies Ag Verfahren zur Optimierung der Geometrie von Strukturelementen eines Musters eines Schaltungsentwurfs für eine Verbesserung der optischen Abbildungseigenschaften und Verwendung des Verfahrens zur Herstellung einer Photomaske
US7444615B2 (en) * 2005-05-31 2008-10-28 Invarium, Inc. Calibration on wafer sweet spots
US7617477B2 (en) * 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101192252B (zh) * 2006-11-30 2010-10-13 国际商业机器公司 用于设计掩模的方法和设备
CN101201874B (zh) * 2006-12-11 2010-09-01 国际商业机器公司 用于执行opc验证的方法和系统
CN101266402B (zh) * 2007-03-16 2010-11-17 联华电子股份有限公司 掩模图案以及其形成方法
CN101311825B (zh) * 2007-05-21 2012-05-30 海力士半导体有限公司 修正光学邻近效应的方法
CN101329506B (zh) * 2007-06-18 2011-03-23 中芯国际集成电路制造(上海)有限公司 光学近距修正方法、光掩模版制作方法及图形化方法
CN101398859B (zh) * 2007-09-29 2010-09-08 上海华虹Nec电子有限公司 把光罩偏差放大系数引入光学邻近效应建模的方法
CN101452499B (zh) * 2007-11-30 2011-01-05 鸿富锦精密工业(深圳)有限公司 元件结构图形智能校正系统及方法
CN101571669B (zh) * 2008-04-28 2012-12-12 中芯国际集成电路制造(北京)有限公司 基于模型的校验光学邻近修正的方法
CN101738848B (zh) * 2008-11-24 2011-11-02 上海华虹Nec电子有限公司 基于可变光酸扩散长度建立opc模型的方法
CN105573048A (zh) * 2014-10-13 2016-05-11 中芯国际集成电路制造(上海)有限公司 一种光学临近修正模型的优化方法

Also Published As

Publication number Publication date
CN100465971C (zh) 2009-03-04
US20060101370A1 (en) 2006-05-11
US7350183B2 (en) 2008-03-25

Similar Documents

Publication Publication Date Title
CN100465971C (zh) 用于改进光学邻近校正的方法
Liebmann et al. TCAD development for lithography resolution enhancement
US8413081B2 (en) Method for process window optimized optical proximity correction
US6470489B1 (en) Design rule checking system and method
US7735053B2 (en) Correction method and correction system for design data or mask data, validation method and validation system for design data or mask data, yield estimation method for semiconductor integrated circuit, method for improving design rule, mask production method, and semiconductor integrated circuit production method
US6785879B2 (en) Model-based data conversion
US9418195B2 (en) Layout content analysis for source mask optimization acceleration
US7325225B2 (en) Method and apparatus for reducing OPC model errors
US6794096B2 (en) Phase shifting mask topography effect correction based on near-field image properties
EP1023641A1 (en) Design rule checking system and method
US20070111112A1 (en) Systems and methods for fabricating photo masks
US8910098B1 (en) Neighbor-aware edge fragment adjustment for optical proximity correction
US8191017B2 (en) Site selective optical proximity correction
US20090281778A1 (en) Method and system for identifying weak points in an integrated circuit design
US7251806B2 (en) Model-based two-dimensional interpretation filtering
TWI773900B (zh) 積體電路佈圖調整及處理方法以及積體電路佈圖處理系統
EP1197802B1 (en) Method of optical proximity correction
US8881070B1 (en) Optical proximity correction based on edge fragment correlation
JP2004157160A (ja) プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法
KR100811269B1 (ko) 광근접 효과 보정을 위한 패턴 모델링 방법
KR20210028326A (ko) 마스크 레이아웃의 보정 방법 및 이를 이용한 반도체 장치의 제조방법
KR20090052659A (ko) 반도체 소자의 설계 방법
Muddu Predictive modeling of integrated circuit manufacturing variation
Hung et al. Lithography process related OPC development and verification demonstration on a sub-90nm Poly layer
Belova et al. Simple method for restricting OPC model minimum spacing and width for a no-failure imaging solution

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090304

Termination date: 20161104

CF01 Termination of patent right due to non-payment of annual fee