CN1353423A - 存储器自我测试的方法 - Google Patents

存储器自我测试的方法 Download PDF

Info

Publication number
CN1353423A
CN1353423A CN 00131995 CN00131995A CN1353423A CN 1353423 A CN1353423 A CN 1353423A CN 00131995 CN00131995 CN 00131995 CN 00131995 A CN00131995 A CN 00131995A CN 1353423 A CN1353423 A CN 1353423A
Authority
CN
China
Prior art keywords
data
address
storer
memory
selftest
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN 00131995
Other languages
English (en)
Inventor
简篇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to CN 00131995 priority Critical patent/CN1353423A/zh
Publication of CN1353423A publication Critical patent/CN1353423A/zh
Pending legal-status Critical Current

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)

Abstract

本发明提出一种存储器自我测试的方法,尤其在数据长度很长的情况之下,测试器结合探针的时分复用测试来获得嵌入式存储器损坏的位置并予以修补。本方法的优点为:可以由减少的探针焊盘来降低芯片的面积,并且可以减少测试的时间。另外亦降低了测试的成本。

Description

存储器自我测试的方法
本发明涉及一种存储器自我测试的方法,特别涉及一种结合探针的时分复用测试与修补的内置自我测试存储器的方法。
嵌入式存储器(embedded memory)应用在半导体元件上已经有许多年了。而现在的嵌入式存储器因为其容量密度越来越高,造成专用集成电路(application specific integrated circuit,以下简称ASIC)或逻辑部分的问题。并且当存储器测试器(memory tester)所能够提供的测试速度低于测试的需求时,亦必须设计出以高速来测试嵌入式存储器。
对于小密度容量的测试嵌入式存储器,在未结合内置自我测试(built-inselftest,以下简称BIST)或者探针(gang probing)测试,其成本考虑上与成效上可能都还是很充足的。但是现在由于系统整合芯片(system-on-chip)的趋势,使得嵌入式存储器亦被制作在元件上。并且由于系统功能越来越复杂,嵌入式存储器的密度容量、速度与数据长度必须越来越高。在过去,许多公司在测试元件的存储器部分应用BIST但是没有修补的功能。而现在嵌入式存储器的密度容量的需求已经到达了16Mb至32Mb的范围了,技术发展越来越高,简单的BIST而没有修补功能应用在嵌入式存储器是不够的。典型的嵌入式存储器具有内建修补的功能,其芯片大小相对于嵌入式存储器只有BIST功能大约多出5%至30%。其中有一些嵌入式存储器是利用探针测试并且修补。但是对于数据长度很长的嵌入式存储器,利用探针测试并且修补的方法是非常不好的,因为在芯片上必须要有很大区域的探针焊盘(probing pad)。并且测试器的测试速度亦不能够达到元件所需的测试速度,因此在测试完之后经过封装还会有损坏的元件,这样将会大大地提高成本。
请参照图1,其为在ASIC应用元件上的习知BIST的装置方块图。此BIST未包括有检测及修补的功能,并且其具有地址自动测试产生器(automatic test pattern generator for address,以下简称ATPG_A)以及数据自动测试产生器(automatic test pattern generator for data,以下简称ATPG_D)。其动作的方法可参照图2的流程图。当存储器的BIST将BIST使能焊盘(BIST_EN pad)10使能,BIST逻辑(BIST logic)20会开始一个写入周期,此时ATPG_A 30产生一个地址,并将由ATPG_D 40产生的数据写入存储器60中由ATPG_A 30产生的地址所对应的存储器数据区64。而存储器60的读写都是经由具有存储器读/写控制的ASIC(ASIC with memory R/Wcontrol)50所控制。在读取周期中,存储器I/O 66会将先前由ATPG_A 30产生的地址所对应的存储器数据区64中的数据读出,并将每一位都经由比较器70和先前ATPG_D 40产生的数据作比较,如果相同则比较器70输出逻辑‘0’的消息,如果不相同则比较器70输出逻辑‘1’的消息,将所有经过比较后的结果再经过“或”门(OR GATE)80,当输出为逻辑‘0’则代表此批数据正确无误,当输出为逻辑‘1’则代表此批数据中至少有一位错误。
在上述中,假如有任何一位的比较结果是错误的,会在通过/失败焊盘90(以下简称PASS/FAIL)上输出FAIL的消息,并且结束测试。当存储器数据区64中所有的位经过比较器70测试后都没有错误发生,则在PASS/FAIL焊盘80输出PASS消息。此BIST设计将只会输出PASS/FAIL的消息,当在嵌入式存储器中任何一个位(bit)损坏时将会产生FAIL的消息,并且整个元件将被丢弃。
而习知嵌入式存储器具有内建修补的功能,是利用位映像(bit mapping)的测试器,其具有一个和嵌入式存储器相同大小的高速缓冲存储器(cachememory),会将损坏位的位置,对应到高速缓冲存储器的相同位置。但是当嵌入式存储器的密度容量太大时,高速缓冲存储器所需要的成本就会显著增加。
上述方法仅适用于嵌入式存储器其位数较少、或者其数据长度短的情况。在数据长度长的情况下,会造成芯片探针焊盘太多而浪费芯片的区域,造成成本的负担。
本发明提出一种存储器自我测试的方法,结合探针的时分复用测试time-division-multiplexed-testing)得到嵌入式存储器的损坏位位置并且分析与修补。
本发明提出一种存储器自我测试的方法,其不仅可以由减少的探针焊盘来降低芯片的面积,并且可以减少测试的时间,更可以节省成本。
本发明提出一种存储器自我测试的方法,包括下列步骤:
将内建自我测试位使能。
在写入周期,产生一个地址及一个数据,并将数据当作存储器数据写入测试存储器上述产生的地址处。
在读取周期,读取测试存储器上述产生的地址处的存储器数据。
比较存储器数据与上述产生的数据;
当比较结果为相同,判断测试存储器是否测试完毕。
当存储器尚未测试完毕,则回到在一写入周期步骤。
当存储器测试完毕,则结束所有的测试动作。
当比较结果为不相同,设定错误标志并且输出错误标志、地址数据、地址结束数据与位数据至测试器。
判断位数据输出完毕。
当位数据尚未输出完毕,则回到当比较结果为不相同步骤。
当数据输出完毕,复位错误标志,并回到当比较结果为相同步骤。
为让本发明的上述目的、特征、和优点能更明显易懂,下文特举较佳实施例,并配合附图,作详细说明如下:
附图的简单说明:
图1为在ASIC应用元件上的习知BIST的装置方块图;
图2为在ASIC应用元件上的习知BIST动作的方法流程图;以及
图3为依照本发明在ASIC应用元件上的BIST动作的方法流程图。
本发明以一个128M bits(1024×512×256=210×29×256)的嵌入式存储器为范例,10代表10个列地址,9代表9个行地址与256位的数据长度。而列地址以[AROW1,AROW2,AROW3,......AROW10]表示,行地址以[ACOL1,ACOL2,AROW3......AROW9]表示,运用8个探针焊盘来让测试器接收并记录位数据,以1个探针焊盘让测试器检测错误标志[ERROR FLAG]消息,以2个探针焊盘传送地址数据[AROWn,ACOLn],以2个探针焊盘传送地址结束数据[ENDROWn,ENDCOLn],而n代表第n周期的输出结果。
请参照图3,其为存储器自我测试的方法的流程图。当BIST开始时是以元件的高速测试时钟执行高速测试,并会开始一个写入周期,此时ATPG_A产生一个地址,并将ATPG_D产生的数据当作存储器数据,写入ATPG_A产生的地址所对应的存储器数据区。在读取周期中,存储器I/O会将先前ATPG_A产生的地址所对应的存储器数据区中的存储器数据读出,并经由比较器和先前ATPG_D产生的数据作比较。假如有任何一位的比较结果是错误的代表此位损坏,则ATPG_A与ATPG_D停留在此状态,并且元件内高速测试时钟亦停止,经过比较器后的每一位数据则锁存(latch)在比较器上。
此时便由速度较慢的测试器时钟来动作,举例来说,假设BIST开始时是以元件的执行速度来高速测试,当测试到列地址[0011010100]与行地址[101011001]有错误时,且其第[1]、[7]与[256]位损坏。此时先设定(set)错误标志,由于使用8个探针焊盘来传送位数据,所以利用时分复用测试(time-division-multiplexed-testing),将256位的数据分为32个周期经由测试器的探针来传送至测试器。此时ATPG_A与ATPG_D停止,并且元件内高速的测试时钟停止,并经过比较器后的每一位数据锁存在比较器上。此时由测试器的低速时钟动作,而在速度较慢的测试器周期中,第1个周期输出:错误标志[1]-代表此时为错误位输出、地址数据[0,1]-代表[AROW1=0,ACOL1=1]、地址结束数据[0,0]-代表地址数据还未结束,位数据[10000010]-代表第1周期的第一位及第七位损坏,亦即数据的第1及第7位损坏。第2个周期输出:错误标志[1]-代表此时为错误位输出、地址数据[0,0]-代表[AROW2=0,ACOL2=0]、地址结束数据[0,0]-代表地址数据还未结束,位数据[00000000]-代表第2周期没有损坏位,亦及数据的第9至16位没有损坏。第3个周期输出:错误标志[1]-代表此时为错误位输出、地址数据[1,1]-代表[AROW3=1,ACOL3=1]、地址结束数据[0,0]-代表地址数据还未结束,位数据[00000000]-代表第3周期没有损坏位,亦及数据的第17至24位没有损坏。依此类推,到了第9个周期输出:错误标志[1]-代表此时为错误位输出、地址数据[0,1]-代表[AROW9=0,ACOL9=1]、地址结束数据[0,1]-代表行地址数据结束且列地址数据还未结束,位数据[00000000]-代表第9周期没有损坏位,亦及数据的第64至72位没有损坏。到了第10个周期输出:错误标志[1]-代表此时为错误位输出、地址数据[0,x]-代表[AROW10=0,ACOL10=x]、x代表无意义、地址结束数据[1,1]-代表行地址数据结束且列地址数据结束,位数据[00000000]-代表第10周期没有损坏位,亦及数据的第73至80位没有损坏。直到第32周期输出:错误标志[1]-代表此时为错误位输出、地址数据[x,x]-代表[AROW1=x,ACOL1=x]、x代表无意义、地址结束数据[1,1]-代表行地址数据结束且列地址数据结束,位数据[00000001]-代表第32周期第8位损坏,亦及数据的第249至256位中第256位损坏。而第33周期BIST会复位(reset)错误标志从[1]更改为[0]。此时BIST元件启动其高速测试时钟,并且元件继续以高速的测试时钟检测其他地址,ATPG_A与ATPG_D继续动作,直到所有的存储器位都被检测完成为止。
当所有的存储器位都被检测完成后,测试器便记录了所有损坏的地址以及相对的损坏位位置,测试工程师便可以利用这些数据分析及修复损坏的位。
因此,本发明的优点是提出一种存储器自我测试的方法,其结合探针的时分复用测试得到嵌入式存储器的损坏位位置并且分析与修补。
本发明的另一优点是提出一种存储器自我测试的方法,其不仅可以由减少的探针焊盘来降低芯片的面积,并且可以减少测试的时间,更可以节省成本。
综上所述,虽然本发明已以较佳实施例公开如上,然其并非用以限定本发明,任何本领域的技术人员,在不脱离本发明的精神和范围内,当可作各种的更动与润饰,因此本发明的保护范围当视所附权利要求书所界定者为准。

Claims (10)

1.一种存储器自我测试的方法,包括下列步骤:
将一内建自我测试位使能;
在一写入周期,产生一地址及一数据,并将该数据当作一存储器数据写入一测试存储器的该地址处;
在一读取周期,读取该测试存储器该地址处的该存储器数据;
比较该存储器数据与该数据;
当比较结果为相同,判断该测试存储器测试完毕;
当该存储器尚未测试完毕,则回到一写入周期步骤;
当该存储器测试完毕,则结束所有的测试动作;
当比较结果为不相同,设定一错误标志并且输出该错误标志、一地址数据、一地址结束数据与一位数据至一测试器;
判断该位数据输出完毕;
当该位数据尚未输出完毕,则回到当比较结果为不相同步骤;以及
当该数据输出完毕,复位该错误标志,并回到当比较结果为相同步骤。
2.如权利要求1所述的存储器自我测试的方法,其中该地址由一地址自动测试产生器产生。
3.如权利要求1所述的存储器自我测试的方法,其中该数据由一数据自动测试产生器产生。
4.如权利要求1所述的存储器自我测试的方法,其中该错误标志尚未设定时以一元件的高速测试时钟执行高速测试。
5.如权利要求1所述的存储器自我测试的方法,其中该错误标志设定后以一测试器的低速时钟来接收该错误标志、该地址数据、该地址结束数据与该位数据。
6.如权利要求1所述的存储器自我测试的方法,其中该测试器接收该错误标志、该地址数据、该地址结束数据与该位数据,用以获得该测试存储器的多个损坏位数据。
7.如权利要求1所述的存储器自我测试的方法,其中该测试存储器分为一列地址与一行地址。
8.如权利要求1所述的存储器自我测试的方法,其中该地址数据包括该列地址其中的一位与该行地址其中的一位。
9.如权利要求1所述的存储器自我测试的方法,其中该地址结束数据提供该列地址与该行地址的传输状况。
10.如权利要求1所述的存储器自我测试的方法,其中该位数据用以提供该测试存储器内该列地址与该行地址处的该些损坏位。
CN 00131995 2000-11-03 2000-11-03 存储器自我测试的方法 Pending CN1353423A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN 00131995 CN1353423A (zh) 2000-11-03 2000-11-03 存储器自我测试的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN 00131995 CN1353423A (zh) 2000-11-03 2000-11-03 存储器自我测试的方法

Publications (1)

Publication Number Publication Date
CN1353423A true CN1353423A (zh) 2002-06-12

Family

ID=4594876

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 00131995 Pending CN1353423A (zh) 2000-11-03 2000-11-03 存储器自我测试的方法

Country Status (1)

Country Link
CN (1) CN1353423A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100399473C (zh) * 2003-05-12 2008-07-02 因芬尼昂技术股份公司 内置自测系统和方法
CN100440382C (zh) * 2003-07-10 2008-12-03 松下电器产业株式会社 半导体集成电路装置
CN101789269A (zh) * 2008-07-17 2010-07-28 马维尔国际贸易有限公司 固态存储器装置中的数据恢复
US8140921B2 (en) 2004-06-22 2012-03-20 Mitsubishi Electric Corporation System for elevator electronic safety device
CN101458971B (zh) * 2008-12-02 2013-01-09 炬力集成电路设计有限公司 一种嵌入式静态存储器的测试系统及测试方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100399473C (zh) * 2003-05-12 2008-07-02 因芬尼昂技术股份公司 内置自测系统和方法
CN100440382C (zh) * 2003-07-10 2008-12-03 松下电器产业株式会社 半导体集成电路装置
US8140921B2 (en) 2004-06-22 2012-03-20 Mitsubishi Electric Corporation System for elevator electronic safety device
CN101789269A (zh) * 2008-07-17 2010-07-28 马维尔国际贸易有限公司 固态存储器装置中的数据恢复
CN101789269B (zh) * 2008-07-17 2014-09-24 马维尔国际贸易有限公司 固态存储器装置中的数据恢复
CN101458971B (zh) * 2008-12-02 2013-01-09 炬力集成电路设计有限公司 一种嵌入式静态存储器的测试系统及测试方法

Similar Documents

Publication Publication Date Title
US6877118B2 (en) Memory testing method and memory testing apparatus
KR100327136B1 (ko) 반도체 메모리 장치 및 이 장치의 병렬 비트 테스트 방법
JP3925839B2 (ja) 半導体記憶装置およびその試験方法
US6480978B1 (en) Parallel testing of integrated circuit devices using cross-DUT and within-DUT comparisons
EP0778584B1 (en) Semiconductor integrated circuit device with large-scale memory and controller embedded on one semiconductor chip, and method of testing the device
US6728916B2 (en) Hierarchical built-in self-test for system-on-chip design
KR100328615B1 (ko) 메모리 시험장치
US7149924B1 (en) Apparatus, method, and system having a pin to activate the self-test and repair instructions
US6662133B2 (en) JTAG-based software to perform cumulative array repair
CN100399473C (zh) 内置自测系统和方法
US7356435B2 (en) Semiconductor test apparatus and control method therefor
KR100679586B1 (ko) 모니터및테스터모드를가진내장자가테스트회로를구비한집적회로메모리장치
US6297997B1 (en) Semiconductor device capable of reducing cost of analysis for finding replacement address in memory array
JP2002538465A (ja) 単一のテスターチャンネルを使用して複数のデバイスの同時テストを行うための分散型インターフェース
US20020199136A1 (en) System and method for chip testing
US7213186B2 (en) Memory built-in self test circuit with full error mapping capability
WO1994024674A1 (en) Semiconductor memory tester
JP3804733B2 (ja) ストレス用電圧を用いてメモリをテストする機能を有する集積回路
CN103617810A (zh) 嵌入式存储器的测试结构及方法
JPH09318707A (ja) 半導体メモリ試験方法および装置
US20080052570A1 (en) Memory device testable without using data and dataless test method
WO2007114373A1 (ja) テスト方法、テストシステムおよび補助基板
KR100295546B1 (ko) 반도체디바이스시험장치
US7490279B1 (en) Test interface for random access memory (RAM) built-in self-test (BIST)
US5659549A (en) Memory test system having a pattern generator for a multi-bit test

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication