CN1306571C - 金属硅化物膜的制作方法和金属氧化物半导体器件 - Google Patents

金属硅化物膜的制作方法和金属氧化物半导体器件 Download PDF

Info

Publication number
CN1306571C
CN1306571C CNB2004100574074A CN200410057407A CN1306571C CN 1306571 C CN1306571 C CN 1306571C CN B2004100574074 A CNB2004100574074 A CN B2004100574074A CN 200410057407 A CN200410057407 A CN 200410057407A CN 1306571 C CN1306571 C CN 1306571C
Authority
CN
China
Prior art keywords
metal
substrate
exposing step
oxide semiconductor
ivb
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100574074A
Other languages
English (en)
Other versions
CN1585102A (zh
Inventor
小西里尔·卡布拉尔
金亨俊
斯蒂芬·M·洛斯纳格尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1585102A publication Critical patent/CN1585102A/zh
Application granted granted Critical
Publication of CN1306571C publication Critical patent/CN1306571C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1078Multiple stacked thin films not being formed in openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明利用原子层淀积(ALD)方法提供了金属膜,它包含IVB或VB族金属、硅、以及可选的氮。确切地说,本发明提供了一种形成金属硅化物的低温热ALD方法以及一种形成金属氮硅化物膜的等离子体增强原子层淀积(PE-ALD)方法。本发明的方法能够在衬底表面上形成厚度为单层或更少的层的金属膜。本发明提供的金属膜能够被用于接触金属化、金属栅、或作为扩散势垒。

Description

金属硅化物膜的制作方法 和金属氧化物半导体器件
技术领域
本发明涉及到金属膜的制作,更确切地说是涉及到用原子层淀积(ALD)技术来形成金属膜的方法。根据出现在金属膜中的元件以及环绕金属膜的材料,本发明形成的金属膜能够被用于接触金属化、金属栅、或用作导电的扩散势垒。确切地说,本发明提供了一种等离子体增强的ALD方法以及一种低温热ALD方法,用来在衬底表面上制作厚度约为100埃或以下的共形金属膜。
背景技术
在半导体工业中,TaSixNy膜已经被广泛地研究作为铜互连的势垒层和作为DRAM电容器的底部电极。这种膜典型地表现低的电阻率和对高温处理的优异的热稳定性/化学稳定性。文献中已经报道TaSixNy膜直至900℃仍然是优异的铜扩散势垒。
除了用作势垒层或底部电极之外,由于其良好的热稳定性和作为n型金属氧化物半导体器件的适当的功函数,TaSixNy膜新近已经被研究作为金属栅电极。
随着半导体器件尺寸的减小,要求淀积高度共形的扩散势垒。此外,对于金属栅电极,需要一种损伤小的淀积方法。为此,由于溅射方法通常不提供足够的共形性,故TaSixNy膜的溅射不是一种恰当的技术。在溅射中,所需材料的靶被将原子敲离靶的受激离子轰击。这些原子然后被淀积在表面上。于是,溅射机制使得难以提供高长宽比应用中的高度共形扩散势垒以及无介质损伤的栅电极。
诸如化学气相淀积(CVD)之类的变通技术已经被用来形成TaSixNy膜。在典型的CVD工艺中,通过对混合气体的化学反应,例如热解、光解、还原、氧化、或还原和氧化,来形成固态膜。为了对系统提供额外的能量,晶片表面或其附近被加热到高温。CVD由于表现下列缺点之一而可能有问题:共形性很差;硅消耗过大;制备和生长温度高;以及选择性丧失。
考虑到上述技术的状态,有必要提供一种能够以高共形性、低生长温度、容易控制厚度、原子层组分、大面积均匀、低杂质含量、介质损伤尽可能小、且无硅消耗的淀积方法。还需要提供能够用于精细图形的约为几个单层或以下的薄的金属膜。
发明内容
本发明涉及到可用于金属氧化物半导体器件的接触金属化、扩散势垒层、或金属栅的金属膜的原子层淀积。用ALD形成的本发明的金属膜具有化学式MSixNy,其中M是选自元素周期表(CAS版本)IVB族(亦即Ti、Zr、或Hf)或VB族(亦即V、Nb、或Ta)的金属;0<x;0≤y。
在y为0的情况下,不包含氮的金属硅化物被形成,且x被限制为x≤2。此金属硅化物典型地被用于接触金属化或作为栅金属。在y不为0的其它情况下,金属氮化硅膜被提供成能够用作扩散势垒或金属栅。
本发明的金属膜可用作金属氧化物半导体(MOS)器件的组成部分。具体地说,MOS器件广义地包含:
是为半导体、绝缘体、或它们的叠层的衬底;以及
化学式为MSixNy的共形金属膜,其中M是位于所述衬底表面上的选自元素周期表(CAS版本)IVB族(亦即Ti、Zr、或Hf)或VB族(亦即V、Nb、或Ta)的金属;0<x;0≤y,所述金属膜的厚度约为100埃或以下。
术语“共形”被用于整个本申请中来表示横跨衬底具有均匀厚度的能够跟随衬底和包含在衬底中的任何图形的轮廓而仍然保持其均匀厚度的金属膜。
在某些实施方案中,诸如Cu、W、Al、Ta、TaN、Ru、Rh、Ti、Be、TiN、Ag之类的导电材料及其合金,被形成在金属膜顶部。此时,金属膜可能包括氮,因而可能用作导电材料的扩散势垒。
在y为0的实施方案中,金属硅化物典型地被形成在半导体衬底的顶部,构成金属接触或栅电极。
用于本发明中来形成金属硅化物的ALD方法,是一种低温热ALD工艺,其中淀积发生在大约450℃或以下的温度。在本发明的低温热ALD方法中,衬底被交替地暴露于金属前体和硅源。由于金属前体与硅源之间的化学反应通过表面反应而不是气相反应而发生,故能够使用低温。
具体地说,金属硅化物广义地由下列步骤来形成:
第一暴露,即衬底暴露于第一流量的IVB或VB族金属前体,以便在衬底表面上形成所述金属前体的凝聚和吸附的单层或更少的层;以及
第二暴露,即凝聚和吸附的单层或更少的层暴露于第二流量的硅源,其中,所述第一和第二暴露在低于450℃的衬底温度下执行。
第一和第二暴露步骤可以重复任何次数,以便形成厚度约为100埃或以下,优选为50埃或以下,或甚至更优选为30埃或以下的共形金属膜。
利用等离子体增强的原子层淀积(PE-ALD)工艺来形成包括氮的本发明其它金属膜。在本发明的PE-ALD工艺中,采用了IVB或VB族金属前体、硅源、以及氮和氢的有能量的混合物。
具体地说,广义地用下列步骤来形成包含IVB或VB族金属、硅、和氮的金属膜:
第一暴露,即衬底暴露于第一流量的IVB或VB族金属前体或硅源,以便在衬底表面上形成所述金属前体或硅源的凝聚和吸附的单层或更少的层;以及
第二暴露,即包含此单层或更少的层的衬底暴露于第二流量的IVB或VB族金属前体或硅源,所述第二暴露包含与第一暴露流量不同的材料,其中,由等离子体或热源产生的氮和氢原子团和离子流在第二暴露步骤之前或之后被引入。
第一、第二、以及H/N暴露步骤可以重复任何次数,以便形成厚度约为100埃或以下,优选为50埃或以下,或甚至更优选为30埃或以下的共形金属膜。
根据本发明,提供一种金属氧化物半导体器件,包括:衬底;以及位于所述衬底表面上用原子层淀积方法形成的化学式为MSixNy的共形金属膜,其中,M是选自元素周期表IVB族即Ti、Zr、或Hf、或VB族即V、Nb、或Ta的金属,0<x且0≤y,所述金属膜具有任何厚度。
要指出的是,本发明的低温热ALD方法和PE-ALD方法能够提供具有高度共形性、低生长温度、低杂质含量、介质损伤最小、以及很少或没有硅消耗的金属膜。关于杂质含量,本发明的方法能够形成杂质含量约为1%或以下,更典型为杂质含量约为0.5%或以下的金属膜。
本发明的方法能够被用来形成渐变的MSiN和MSi2组分。借助于改变x和y值,调节氮对氢的流速,以改变氮含量和/或改变硅源的暴露时间以改变各个暴露周期或所希望的若干暴露周期的硅含量,可以使MSixNy层渐变。为了改善ALD材料的粘合性或修正若被用作栅金属时的功函数,富金属的硅化物或MSiN可以被用于与介质的接触中,且富硅的硅化物或富氮的MSiN可以被用于与帽层金属的接触中。
附图说明
图1是剖面图,示出了一种MOS器件,它包括用本发明的低温热ALD方法淀积的金属硅化物接触。
图2A-B是剖面图,A示出了一种包括金属膜的MOS器件,此金属膜包含IVB或VB族金属、硅、以及氮作为扩散势垒,B示出了一种包括金属膜的MOS器件,此金属膜包含IVB或VB族金属、硅、以及氮作为金属栅。
图3是实施例1中形成的TaSi2膜的X射线衍射(XRD)图形。
图4是实施例2中指出的现有技术TaN PE-ALD膜的XRD图形。
图5是实施例2中形成的本发明TaSiN膜的XRD图形。
具体实施方式
下面参照附图来更详细地描述本发明,本发明提供了形成IVB或VB族硅化物的低温热ALD方法和形成IVB或VB族氮化硅的PE-ALD方法。具体地说,首先将详细地描述低温ALD方法,随之以详细讨论PE-ALD方法。
在下述的任何一种方法中,诸如半导体材料、绝缘体、或其叠层之类的衬底被置于ALD装置的反应器工作室内。可以使用任何一种ALD装置。术语“半导体材料”此处被用来表示诸如Si、SiGe、Ge、GaAs、InAs、InP、SiC、SiGeC、以及所有其它III/V化合物半导体之类的半导体材料。半导体衬底可以是单晶或多晶。术语“半导体”所指的还有诸如绝缘体上硅、绝缘体上硅锗、以及绝缘体上碳化硅之类的叠层半导体。术语“绝缘体”在本发明中被用来表示任何电绝缘材料,包括氧化物、氮化物、以及氮氧化物。优选的绝缘体是二氧化硅。能够被用于本发明中的叠层衬底的例子是硅晶片上的二氧化硅。
在某些实施方案中,在使用之前可以对衬底进行清洗,以便从其表面清除任何天然氧化物或其它的沾污物。可以用于本发明的清洗工艺的例子是氢氟酸浸泡。
低温热ALD:在形成金属硅化物时,亦即在化学式MSixNy中的y为0时,此方法是特别有用的。本发明中形成的优选金属硅化物是Ta和Ti的硅化物。非常优选的硅化物是TiSi2和TaSi2。本发明的低温热ALD方法包括二个基本步骤:第一暴露,即衬底暴露于第一流量的IVB或VB族金属前体,以便在衬底表面上形成所述金属前体的凝聚和吸附的单层或更少的层的步骤;以及第二暴露,即凝聚和吸附的单层或更少的层暴露于第二流量的硅源,其中,所述第一和第二暴露在低于450℃的衬底温度下执行。
借助于将上述衬底之一置于原子层淀积装置的反应器工作室中而开始低温热ALD工艺。可以采用任何常规的设计或模型。通常在样品夹具上进行定位。在衬底被定位于工作室中之后,工作室中的压力被抽空到约为1×10-6乇或以下的本底压力。在本发明的低温热ALD方法中采用了约为1毫乇到1乇的优选工作分压。
在得到所希望的工作压力之后,利用位于样品夹具附近的加热元件对衬底进行加热。可以采用例如陶瓷电阻加热板之类的任何类型的加热元件。衬底被加热到直至450℃的温度,更优选的是衬底被加热到约为200-400℃的温度。借助于改变加热器的电流,能够控制此温度。
然后将气体或气相形式的IVB或VB族金属前体通入到反应器工作室中,且衬底被暴露于此流体,致使IVB或VB族金属前体的凝聚和吸附的单层或更少的层被形成在衬底表面上。IVB或VB族金属前体是一种化学式为MXa的含卤素的化合物,其中,M是IVB或VB族金属,亦即Ti、Zr、Hf、V、Nb、Ta,X是一种卤素,例如Cl、Br、I或它们的混合物,而a是IVB或VB族金属的价态,亦即4或5。能够用于本发明的各种金属前体的示例性例子包括但不局限于TiCl4、TiB4、TiI4、TiCl2F2、ZrCl4、ZrBr4、ZrI4、HfCl4、HfBr4、HfI4、VCl5、VBr5、VI5、NbCl5、NbBr5、NbI5、TaCl5、TaI5、TaBr5等。在这些金属前体中,TiCl4和TaCl5是非常优选的。
金属前体在被引入ALD工作室之前可以呈固态、液态、或气态。但在进入工作室时要求是气体或气相。例如,可以使用固态金属前体,并在对其加热时形成金属前体的气体或气相。产生气体或气相所需的热量依赖于金属前体的初始状态以及其组成元素。当采用固态形式的金属前体时,使用大约90℃或以上的温度来产生气体或气相。当采用液体形式的金属前体时,需要更低的温度或无须加热。要指出的是,为了防止管道中发生凝聚,可能需要对载运金属前体气体或气相的管道进行加热。
为了帮助金属前体传输到ALD工作室,可以将载气引入到载运金属前体的管道中。本发明中使用的载气典型为诸如He、Ar、Ne、Xe、Kr之类的惰性气体及其混合物。可以得到大约99-99.95%的惰性气体对大约0.05-1%的金属前体的稀释。
对于在衬底上形成凝聚和吸附的单层或更少的层金属前体来说,引入到ALD反应器中的这一数量的金属前体气体或气相以及可选的载气是足够的。通常,用泄漏阀或另一流量控制装置,来控制引入到ALD工作室中的金属前体的量。能够用于本发明的金属前体的典型流速约为10-100sccm,约为20-50sccm则更优选。对金属前体的第一暴露的时间可以依赖于被引入在工作室中的流速和材料而变化。但第一暴露步骤的执行时间典型约为0.5-5秒钟,第一暴露时间长度约为1-3秒钟则更优选。注意,在第一暴露步骤中,衬底被保持在上述的温度范围内。
如上所述,第一暴露步骤将气体或气相形式的金属前体流引入到反应器工作室中。此金属气体或气相首先凝聚在衬底的表面上,然后,凝聚的前体被化学吸附作用吸附到衬底上。
在第一暴露步骤之后,可以抽空工作室,以便从反应器工作室清除非吸附的金属前体。若执行此抽空步骤,则通常执行大约0.5-10秒钟,大约1-5秒钟更优选。在此抽空步骤中,反应器工作室中的压力在上述工作压力之内或稍低。
此时也可以借助于将惰性气体流引入到反应器工作室中而冲洗系统。此冲洗可在抽空步骤之后,或若不执行抽空步骤,则此冲洗可跟随在第一暴露步骤之后。当执行惰性气体冲洗时,通常执行大约0.5-5秒钟,大约1-3秒钟则更优选。
接着,硅源流被引入到反应器工作室中,且硅源在第二暴露步骤中被暴露于包含吸附的单层或更少的层的衬底。用于本发明的硅源必须包含能够与吸附到衬底表面上的金属前体的卤素发生反应且与之形成能够被抽空清除的自由络合物的原子。硅源中的硅与保留在衬底上的金属发生反应。借助于重复第一暴露和第二暴露步骤,反应的硅可以被用来在其上形成额外的单层或更少的层。
能够达到上述目的的一种硅源是化学式为SinH2n+2的硅烷,其中n为1-10,优选为1-3。因此,硅烷、二硅烷、以及三硅烷是能够用于本发明的优选硅源。当采用硅烷时,H与卤素X反应,形成非键合的HX络合物,能够利用抽空从系统中清除。
此硅源在被引入之前可以处于固态、液态、或气态形式,但在进入反应器工作室时必须是气体或气相。可以用热来形成硅源的气体或气相。当需要热来产生气体或气相时,可以使用特定硅源的熔点或沸点或以上的温度。
硅源可以被原样使用,或可以用惰性气体稀释。典型的稀释约为0-99.5%的惰性气体对约为0.5-100%的硅源。流量控制器或其它的流量控制装置被用来控制引入到反应器工作室中的硅源量。虽然流速能够变化,但被引入到反应器工作室中的硅源的流速典型约为50-500sccm,约为80-300sccm则更优选。第二暴露步骤被执行足够的时间,以便达到上述的反应。具体地说,第二暴露步骤被执行大约1-10秒钟,大约3-7秒钟则更优选。
此时可以停止进程,或进一步抽空并进行冲洗。在本发明中还可以重复上述第一和第二暴露步骤任何次数,直至得到所希望的金属膜厚度。注意,第一和第二暴露步骤表示一个周期,且此周期可以被重复任何次数,以便得到所希望的厚度。能够得到任何厚度,但优选为大约100埃。上述低温ALD工艺形成了诸如TiSi2或TaSi2之类的金属硅化物。各个步骤的暴露时间可以被用来调节金属硅化物的组分。金属膜被共形生长在衬底的表面上,每次一个单层或少于一个单层。这使得能够在衬底的整个表面上形成厚度均匀的金属膜。
图1是MOS器件的简单说明,它包括衬底10和用本发明的低温热ALD方法形成的金属硅化物12。在此说明中,用作接触的金属硅化物12被形成在平坦的衬底上。在某些实施方案中,金属硅化物可以被形成在图形化的衬底上。在另一些实施方案中,可以在形成硅化物之后,对硅化物和衬底进行图形化。
II.PE-ALD:在形成金属氮化硅时,亦即在化学式MSixNy中的y为0时,此方法是特别有用的。本发明中形成的优选金属氮化硅是TaSixNy和TiSixNy。本发明的PE-ALD方法包括:第一暴露,即衬底暴露于第一通量的IVB或VB族金属前体或硅源,以便在衬底表面上形成其凝聚和吸附的单层或更少的层的步骤;以及第二暴露,即凝聚和吸附的单层或更少的层暴露于第二通量的IVB或VB族金属前体或硅源,其中,所述第一和第二通量包括不同的材料。在PE-ALD工艺中,在第二暴露步骤之前或之后,氢和氮原子团以及离子被引入到反应器工作室中。由等离子体或热源来产生有能量的氢和氮。
借助于将上述衬底之一置于原子层淀积装置的反应器工作室中而开始PE-ALD工艺。可以采用任何常规的设计或模型。通常在样品夹具上进行定位。在衬底被定位于工作室中之后,工作室中的压力被抽空到约为1×10-6乇或以下的本底压力。在本发明的PE-ALD方法中采用了约为1毫乇到1乇的优选工作分压。
在得到所希望的工作压力之后,利用位于样品夹具附近的加热元件对衬底进行加热。可以采用例如陶瓷电阻加热板之类的任何类型的加热元件。衬底被加热到直至450℃的温度,更优选的是衬底被加热到约为200-400℃的温度。借助于改变加热器的电流,来控制此温度。
然后将气体或气相形式的IVB或VB族金属前体(见以前定义)或硅源(见以前定义)通入到反应器工作室中,且衬底被暴露于此流体,致使IVB或VB族金属前体或硅源的凝聚和吸附的单层或更少的层被形成在衬底表面上。第一暴露步骤中被引入到反应器工作室中的材料的类型,依赖于衬底的材料。第一暴露步骤的条件可以根据引入金属前体还是引入硅源而改变。尽管如此,在PE-ALD工艺中仍然能够采用低温热ALD工艺中所用的对于特定材料亦即金属前体和硅源的上述条件。
为了帮助传输,可以在第一暴露步骤中将载气引入到载运金属前体或硅源的管道中。本发明中使用的载气典型为诸如He、Ar、Ne、Xe、Kr之类的惰性气体及其混合物。
对于在衬底上形成凝聚和吸附的单层或更少的层金属前体或硅源来说,第一暴露步骤中引入到ALD反应器中的金属前体或硅源以及可选的载气这一数量是足够的。
在第一暴露步骤之后,可以抽空工作室,以便从反应器工作室清除非吸附的材料。若执行抽空步骤,则其型执行大约0.5-10秒钟,大约0.5-5秒钟则更优选。反应器工作室在此抽空步骤中的压力在上述工作压力范围内或稍低。
在本发明的此时,也可以借助于将惰性气体流引入到反应器工作室中而冲洗系统。此冲洗可跟随在抽空步骤之后,或若不执行抽空步骤,则此冲洗可跟随在第一暴露步骤之后。当执行惰性气体冲洗时,通常执行大约0.5-5秒钟,大约1-3秒钟则更优选。
在本发明的此时,有能量的氮和氢原子团流通常被引入到反应器工作室中。可以由等离子体或由热源来产生有能量的氮(通常是激活的氮气)和氢(通常是原子氢)源。可以使用任何等离子体或热源。具体地说,提供包含氮和氢的气态混合物的容器,并由环绕此容器的多圈线圈来产生等离子体。此多圈线圈具有设定在大约13.56MHz的射频。此线圈被连接成大约200-1200W的功率经由电源被施加到线圈。光发射显微镜或其它类似的装置可以被用来监测有能量的材料的产生。
有能量的材料以大约20-200sccm的流速被引入,大约50-150sccm的流速则更优选。有能量材料的暴露时间可以变化,但典型的暴露时间约为1-10秒钟,约为3-7秒钟则更优选。
金属前体(见以前的定义)或硅源(见以前的定义)通量被引入到反应器工作室中,且引入的材料在第二暴露步骤中被暴露于包含吸附的单层或更少的层的衬底。第二暴露步骤中加入的具体材料不同于第一暴露步骤中的。于是,例如若金属前体被用于第一暴露步骤,则在第二暴露步骤中要求硅源。同样,当第一暴露步骤采用硅源时,就要求第二暴露步骤采用金属前体。若不遵循此循环,则得不到金属氮硅化物的单层或更少的层。
第二暴露步骤的条件和时间依赖于所用的材料,尽管如此,在具体组分的低温热ALD工艺中所用的上述暴露条件,也可以在此处被用于PE-ALD方法。
此时可以停止进程,或进行进一步抽空和冲洗。若有能量的氮和氢在第二暴露步骤之前未预先被引入到反应器工作室中,则此时在已经完成第二暴露步骤之后可以引入。此时加入有能量的氮和氢,将确定组分因而也确定淀积的膜的电阻率。
在本发明中还可以重复上述第一、第二、以及有能量的氮/氢的暴露步骤任何次数,直至得到所希望的金属膜厚度。注意,第一、第二、以及有能量的氮/氢的暴露步骤表示一个周期,且此周期可以被重复任何次数,以便得到所希望的厚度。能够得到任何厚度,但优选为大约100埃。上述PE-ALD工艺形成了诸如TiSiN、ZrSiN、HfSiN、TaSiN、NbSiN、VSiN之类的金属氮硅化物。各个步骤的暴露时间可以被用来调节金属氮硅化物的组分。金属膜被共形生长在衬底的表面上,每次一个单层或少于一个单层。这使得能够在衬底的整个表面上形成厚度均匀的金属膜。
图2A是MOS器件的简单说明,它包括衬底10、衬底10顶部的金属氮硅化物14、以及金属氮硅化物14顶部的导电层16。此导电层可以包含诸如W、Al、Cu、Ta、TaN、Ru、Rh、Ti、Be、Ag之类的导电金属以及它们的合金。用本发明的PE-ALD方法来形成层14。在此说明中,金属氮硅化物被形成在平坦的衬底顶部上。在某些实施方案中,金属氮硅化物可以被形成在图形化的衬底内或图形化的衬底顶部上。
图2B是MOS器件的简单说明,它包括衬底10、栅介质18、以及栅介质18顶部上的金属氮硅化物14。栅介质可以包含任何绝缘材料,包括氧化物、氮化物、氮氧化物、以及它们的混合物。用本发明的PE-ALD方法来形成层14。
下列实施例被用来说明本发明的原子层淀积方法以及能够从中得到的一些优点。
实施例1:TaSi2的原子层淀积
对于此实验,采用了原子层淀积工作室。尺寸大到直径200nm的样品能够被装载在原子层淀积工作室中。在装载样品之后,用反应气体涡轮分子泵将工作室抽空到工作本底压力10-6乇。用陶瓷电阻加热板进行样品的加热,提供高达450℃的生长温度。借助于改变加热器的电流而控制此温度,此温度预先对安装到样品的热偶进行了校正。
包含在玻璃管中的固态TaCl5(粉末)被用作金属前体。此玻璃管被保持在100℃,以便提供适当的蒸汽压,且所有的送料管道被加热到130-150℃,以便防止前体的凝聚。为了改善送料,Ar被用作载气,并用源管道上游的泄漏阀来控制流量。Ar中稀释的3%的硅烷通过质量控制器来提供,但硅烷的稀释或使用包括二硅烷或三硅烷的其它氢化物源,也不改变结果。
淀积周期由下列步骤组成:将衬底暴露于由Ar气承载的TaCl5,暴露时间为tTaCl2,抽空工作室,在给定时间内打开硅烷源阀门,然后关闭阀门。在卤化物与硅烷暴露之间未使用冲洗气体,但使用冲洗气体也不改变结果。在硅烷暴露过程中,工作室与涡轮泵之间的闸门阀被关闭,以便得到最大可能的硅烷源。薄膜被淀积在氢氟酸浸泡过的Si(001)上,或被淀积在热生长于硅衬底上的500埃的二氧化硅上。对于使用原子层淀积方法的TaSi2薄膜的生长,未曾观察到明显的衬底依赖关系。在此工艺中,未曾使用等离子体,但等离子体也可以被施加于硅烷,或也可以采用额外的H等离子体周期。
用卢塞福背散射(RBS)和X射线衍射(XRD)方法,对生长的薄膜进行了分析。图3示出了300℃下生长的薄膜的XRD图形。除了TaSi2和Si硅衬底峰之外,没有观察到其它的峰。这表明在给定的条件下,形成的薄膜完全是Ta的二硅化物,没有形成诸如一硅化物相之类的其它硅化物相。Cl和O的浓度低于RBS的探测限,H的浓度仅仅为0.4%。借助于假设体密度,生长速率为每周期0.46埃。
实施例2:TaSiN的原子层淀积
对于此实验,采用了等离子体增强的原子层淀积工作室。尺寸大到直径200nm的样品能够被装载在原子层淀积工作室中。在装载样品之后,用反应气体涡轮分子泵将工作室抽空到工作本底压力10-6乇。用陶瓷电阻加热板进行样品的加热,提供高达450℃的生长温度。借助于改变加热器的电流而控制此温度,此温度预先对安装到样品的热偶进行了校正。
包含在玻璃管中的固态TaCl5(粉末)被用作金属前体。此玻璃管被保持在100℃,以便提供适当的蒸汽压,且所有的进料管道被加热到130-150℃,以便防止前体的凝聚。为了改善送料,Ar被用作载气,并用源管道上游的泄漏阀来控制流量。
用经由闸门阀连接到样品工作室的石英管来产生原子氢和激活的氮气,且经由泄漏阀馈送氢和氮气。此石英管被设定为13.56MHz,功率电平高达1200W的多圈线圈包围。在此实施例中,闸门阀被用于样品工作室与管区之间,致使前体不被暴露于管区。光学发射光谱仪被用来监测产生的原子团。对于H等离子体现察到了大的原子H峰,而对于N等离子体,仅仅观察到与N2+相关的峰,表明激活的N前体是激活的分子氮而不是原子氮。H和N之间的这一差别可能是分子氮较高的分解能所造成的。
淀积周期由下列步骤组成:将衬底暴露于由Ar气承载的TaCl5,暴露时间为tTaCl2,抽空工作室,在设定时间tp内打开氢和氮源阀门并引发射频等离子体,然后关闭氢和氮源以及等离子体,使工作室能够回到本底压力。在周期开始之前,用泄漏阀来设定氢和氮的分压。此周期理想地得到了吸附的TaCl5层的完全反应以及TaN部分单层或更少的层的淀积。对于TaSiN淀积,在H/N等离子体之前或之后,执行5秒钟的无等离子体的硅烷暴露。在硅烷暴露过程中,工作室与涡轮泵之间的闸门阀被关闭,以便得到最大可能的硅烷暴露。薄膜被淀积在氢氟酸浸泡过的Si(001)上,或被淀积在热生长于硅衬底上的500埃的二氧化硅上。对于使用等离子体增强的原子层淀积(PE-ALD)方法的TaSiN薄膜的生长,未曾观察到明显的衬底依赖关系。
图4示出了用同一个工作室在300℃的生长温度,0.03的氮/氢分压下生长的TaN PE-ALD薄膜的典型XRD图形。明显地观察到了立方TaN的峰,且RBS结果表明薄膜在给定条件下是化学配比的。透射电子显微镜(TEM)结果表明此薄膜由多晶立方TaN相组成。此薄膜能够在低达室温的温度下生长,虽然沾污水平是生长温度的函数。在典型的生长温度下,是为主要沾污物的Cl低于0.5%。没有观察到可觉察的C,而淀积后的空气暴露在薄膜表面区上产生5-10%的O。
图5示出了由于在H/N等离子体暴露之前或之后插入5秒钟的硅烷暴露而得到的XRD图形变化。对于由等离子体暴露之前的硅烷暴露所生长的样品a),与立方TaN相关的峰消失了,导致仅仅一个宽广的衍射图形,表明薄膜是非晶。这是TaSiN淀积的表征。
样品a)的电阻率高达106μΩcm,而与典型等离子体气相淀积TaSiN相同的样品b)的电阻率为103μΩcm。RBS表明样品a)的组成为13%的Ta、52%的N、以及35%的Si,而样品b)的组成为20%的Ta、25%的N、以及55%的Si。此结果表明ALD生长的TaSiN的组成、电阻率、以及微结构非常依赖于生长过程。而且,能够得到非常高的硅浓度,其硅组分范围借助于改变硅烷暴露时间而被调制。
虽然参照其优选实施方案已经具体描述了本发明,但本技术领域熟练人员可以理解的是,可以作出形式和细节方面的上述和其它的各种改变而不偏离本发明的范围和构思。因此认为本发明不局限于所述的准确形式和细节,而是在所附权利要求的范围内。

Claims (31)

1.一种制作金属硅化物膜的方法,包括下列步骤:
第一暴露,即衬底暴露于第一流量的IVB或VB族金属前体,以便在衬底表面上形成所述金属前体的凝聚和吸附的单层或更少的层;以及
第二暴露,即凝聚和吸附的单层或更少的层暴露于第二流量的硅源,其中,所述第一和第二暴露在低于450℃的衬底温度下执行。
2.权利要求1的方法,其中,所述金属前体是化学式为MXa的含卤素的IVB或VB族化合物,其中M是IVB或VB族金属,a是4或5,而X是卤素。
3.权利要求1的方法,其中,所述金属前体是TaCl5
4.权利要求1的方法,其中,所述硅源是化学式为SinH2n+2的硅烷,其中n为1-10。
5.权利要求4的方法,其中,所述硅烷是SiH4
6.权利要求1的方法,还包括在所述第二暴露之前将氢等离子体引入到所述衬底。
7.权利要求1的方法,还包括在所述第二暴露之后将氢等离子体引入到所述衬底。
8.权利要求1的方法,其中,在各个暴露步骤之后,引入冲洗气体到所述衬底。
9.权利要求1的方法,其中,所述第一暴露包含TaCl5,且所述第二暴露包含SiH4
10.权利要求1的方法,其中,所述抽空步骤出现在第一和第二暴露之间。
11.权利要求1的方法,其中,所述金属硅化物具有渐变组分。
12.一种制作VB或VB金属氮硅化物膜的方法,包括下列步骤:
第一暴露,即衬底暴露于第一流量的IVB或VB族金属前体或硅源,以便在衬底表面上形成所述金属前体或硅源的凝聚和吸附的单层或更少的层;
第二暴露,即包含此单层或更少的层的衬底暴露于第二流量的IVB或VB族金属前体或硅源,所述第二暴露包括与第一暴露不同的材料,其中,由等离子体或热源产生的氮和氢原子团和离子流在第二暴露步骤之前或之后被引入。
13.权利要求12的方法,其中,所述第一或第二暴露步骤的金属前体是化学式为MXa的含卤素的IVB或VB族化合物,其中M是IVB或VB族金属,a是4或5,而X是卤素。
14.权利要求12的方法,其中,所述金属前体是TaCl4或TaCl5
15.权利要求12的方法,其中,所述第一或第二暴露步骤的硅源是化学式为SinH2n+2的硅烷,其中n为1-10。
16.权利要求15的方法,其中,所述硅烷是SiH4
17.权利要求12的方法,其中,所述第一暴露步骤包括金属前体,而第二暴露步骤包含硅源。
18.权利要求12的方法,其中,所述第一暴露步骤包括硅源,而第二暴露步骤包含金属前体。
19.权利要求12的方法,其中,在各个暴露步骤之后,引入冲洗气体到所述衬底。
20.权利要求12的方法,其中,所述第一暴露包含TaCl5,且所述第二暴露包含SiH4
21.权利要求12的方法,其中,所述抽空步骤出现在第一和第二暴露步骤之间。
22.权利要求12的方法,其中,所述金属硅化物具有渐变组分。
23.一种金属氧化物半导体器件,包括:
衬底;以及
位于所述衬底表面上用原子层淀积方法形成的化学式为MSixNy的共形金属膜,其中,M是选自元素周期表IVB族即Ti、Zr、或Hf、或VB族即V、Nb、或Ta的金属,0<x且0≤y,所述金属膜具有任何厚度。
24.权利要求23的金属氧化物半导体器件,其中所述金属膜为100埃或以下。
25.权利要求23的金属氧化物半导体器件,还包括共形金属膜顶部的导电材料。
26.权利要求25的金属氧化物半导体器件,其中,所述导电材料选自由Cu、W、Al、Ta、TaN、TiN、Rh、Ru、Ti、Be、Ag以及它们的合金构成的组。
27.权利要求23的金属氧化物半导体器件,其中,所述衬底是半导体衬底、绝缘体、或它们的叠层。
28.权利要求23的金属氧化物半导体器件,其中,所述共形金属膜被图形化,且是晶体管的金属栅。
29.权利要求23的金属氧化物半导体器件,其中,所述M是Ti或Ta,而y为0。
30.权利要求23的金属氧化物半导体器件,其中,所述共形金属膜是TiSi2或TaSi2
31.权利要求23的金属氧化物半导体器件,其中,所述共形金属膜是TaSiN或TiSiN。
CNB2004100574074A 2003-08-19 2004-08-12 金属硅化物膜的制作方法和金属氧化物半导体器件 Expired - Fee Related CN1306571C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/643,534 2003-08-19
US10/643,534 US6943097B2 (en) 2003-08-19 2003-08-19 Atomic layer deposition of metallic contacts, gates and diffusion barriers

Publications (2)

Publication Number Publication Date
CN1585102A CN1585102A (zh) 2005-02-23
CN1306571C true CN1306571C (zh) 2007-03-21

Family

ID=34193901

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100574074A Expired - Fee Related CN1306571C (zh) 2003-08-19 2004-08-12 金属硅化物膜的制作方法和金属氧化物半导体器件

Country Status (2)

Country Link
US (2) US6943097B2 (zh)
CN (1) CN1306571C (zh)

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100604089B1 (ko) * 2004-12-31 2006-07-24 주식회사 아이피에스 In-situ 박막증착방법
US7628309B1 (en) * 2005-05-03 2009-12-08 Rosemount Aerospace Inc. Transient liquid phase eutectic bonding
US7400042B2 (en) * 2005-05-03 2008-07-15 Rosemount Aerospace Inc. Substrate with adhesive bonding metallization with diffusion barrier
US7538401B2 (en) 2005-05-03 2009-05-26 Rosemount Aerospace Inc. Transducer for use in harsh environments
US20070013014A1 (en) * 2005-05-03 2007-01-18 Shuwen Guo High temperature resistant solid state pressure sensor
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7510939B2 (en) 2006-01-31 2009-03-31 International Business Machines Corporation Microelectronic structure by selective deposition
DE102006023046B4 (de) * 2006-05-17 2009-02-05 Qimonda Ag Verfahren und Ausgangsmaterial zum Bereitstellen eines gasförmigen Precursors
EP2047008B1 (en) * 2006-07-14 2017-01-18 Danfoss A/S Method for treating titanium objects with a surface layer of mixed tantalum and titanium oxides
KR100840786B1 (ko) * 2006-07-28 2008-06-23 삼성전자주식회사 저저항 게이트 전극을 구비하는 반도체 장치 및 이의제조방법
US7687911B2 (en) * 2006-09-07 2010-03-30 Intel Corporation Silicon-alloy based barrier layers for integrated circuit metal interconnects
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
DE102007025446B3 (de) 2007-05-31 2008-11-06 Siemens Medical Instruments Pte. Ltd. Ohrstück für eine Hörvorrichtung mit Sicherungsring
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US20090008725A1 (en) * 2007-07-03 2009-01-08 International Business Machines Corporation Method for deposition of an ultra-thin electropositive metal-containing cap layer
GB0718839D0 (en) * 2007-09-26 2007-11-07 Eastman Kodak Co method of patterning a mesoporous nano particulate layer
KR100920455B1 (ko) * 2007-10-01 2009-10-08 포항공과대학교 산학협력단 열처리 공정 없는 플라스마 원자층 증착법을 이용한 금속실리사이드 박막의 제조방법
KR100920456B1 (ko) * 2007-10-05 2009-10-08 포항공과대학교 산학협력단 플라스마 원자층 증착 방법을 이용한 비촉매 코발트 나노 막대의 제조 방법 및 반도체 소자
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
CN101740369B (zh) * 2008-11-19 2011-12-07 中国科学院微电子研究所 一种制备金属性金属氮化物薄膜的方法
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
TWI536451B (zh) * 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5824330B2 (ja) * 2011-11-07 2015-11-25 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20140030444A1 (en) * 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
CN104051252B (zh) * 2013-03-11 2017-04-05 中芯国际集成电路制造(上海)有限公司 高k金属栅结构的制备方法
US8828866B1 (en) * 2013-06-26 2014-09-09 Applied Materials, Inc. Methods for depositing a tantalum silicon nitride film
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
WO2018132568A1 (en) * 2017-01-13 2018-07-19 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11361992B2 (en) * 2019-10-08 2022-06-14 Eugenus, Inc. Conformal titanium nitride-based thin films and methods of forming same
US11482413B2 (en) 2019-10-08 2022-10-25 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
KR20230125798A (ko) * 2020-12-10 2023-08-29 유제누스 인크. 등각성의 평활한 티타늄 나이트라이드 층 및 이를 형성시키는방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001027346A1 (en) * 1999-10-15 2001-04-19 Asm Microchemistry Oy Method of modifying source chemicals in an ald process
CN1076870C (zh) * 1995-06-30 2001-12-26 现代电子产业株式会社 制造金属氧化物场效应晶体管的方法
CN1426092A (zh) * 2003-01-02 2003-06-25 上海华虹(集团)有限公司 化学气相法淀积氮化钛和铜金属层大马士革工艺

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999011635A1 (en) * 1997-09-02 1999-03-11 Du Pont Pharmaceuticals Company 5,5-disubstituted-1,5-dihydro-4,1-benzoxazepin-2(3h)-ones useful as hiv reverse transcriptase inhibitors
US6068016A (en) * 1997-09-25 2000-05-30 Applied Materials, Inc Modular fluid flow system with integrated pump-purge
KR100425147B1 (ko) * 1997-09-29 2004-05-17 주식회사 하이닉스반도체 반도체소자의제조방법
US6140230A (en) * 1998-02-19 2000-10-31 Micron Technology, Inc. Methods of forming metal nitride and silicide structures
US6737716B1 (en) * 1999-01-29 2004-05-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20050072498A1 (en) * 1999-07-13 2005-04-07 Begg Lester L. Single crystal tungsten penetrator and method of making
US6509941B2 (en) * 2001-03-22 2003-01-21 Eastman Kodak Company Light-producing display having high aperture ratio pixels
US20020158574A1 (en) * 2001-04-27 2002-10-31 3M Innovative Properties Company Organic displays and devices containing oriented electronically active layers
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
TW508808B (en) * 2001-09-14 2002-11-01 Winbond Electronics Corp Stacked type capacitor structure and its manufacturing method
US6727592B1 (en) * 2002-02-22 2004-04-27 Advanced Micro Devices, Inc. Copper interconnect with improved barrier layer
US6586285B1 (en) * 2002-03-06 2003-07-01 Micron Technology, Inc. Plasma enhanced chemical vapor deposition method of forming titanium silicide comprising layers
US6518167B1 (en) * 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6861349B1 (en) * 2002-05-15 2005-03-01 Advanced Micro Devices, Inc. Method of forming an adhesion layer with an element reactive with a barrier layer
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6940173B2 (en) * 2003-01-29 2005-09-06 International Business Machines Corporation Interconnect structures incorporating low-k dielectric barrier films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1076870C (zh) * 1995-06-30 2001-12-26 现代电子产业株式会社 制造金属氧化物场效应晶体管的方法
WO2001027346A1 (en) * 1999-10-15 2001-04-19 Asm Microchemistry Oy Method of modifying source chemicals in an ald process
CN1426092A (zh) * 2003-01-02 2003-06-25 上海华虹(集团)有限公司 化学气相法淀积氮化钛和铜金属层大马士革工艺

Also Published As

Publication number Publication date
US20060003557A1 (en) 2006-01-05
US6943097B2 (en) 2005-09-13
US20050042865A1 (en) 2005-02-24
CN1585102A (zh) 2005-02-23
US7998842B2 (en) 2011-08-16

Similar Documents

Publication Publication Date Title
CN1306571C (zh) 金属硅化物膜的制作方法和金属氧化物半导体器件
KR102539806B1 (ko) 포토레지스트 부착 및 선량 감소를 위한 하부층
CN108352397B (zh) 光敏装置和材料
TWI693294B (zh) 用於含鉬或鎢的薄膜之原子層沉積的前驅物之合成與使用
Johnson et al. Thermal atomic layer etching of crystalline aluminum nitride using sequential, self-limiting hydrogen fluoride and Sn (acac) 2 reactions and enhancement by H2 and Ar plasmas
Longo et al. Selectivity of metal oxide atomic layer deposition on hydrogen terminated and oxidized Si (001)-(2× 1) surface
WO2004077515A2 (en) Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
TW200408323A (en) Atomic layer deposition of high k metal oxides
WO2016111833A1 (en) Direct deposition of nickel silicide nanowire
CN106148910B (zh) 一种氮掺杂石墨烯薄膜的制备方法
JP2020133002A (ja) 反応チャンバーにおいて循環堆積プロセスにより基材上に酸化ハフニウムランタン膜を堆積させるための方法
KR20220129105A (ko) 텅스텐을 위한 몰리브덴 템플릿들
Hong et al. Fully Bottom‐Up Waste‐Free Growth of Ultrathin Silicon Wafer via Self‐Releasing Seed Layer
JP6503543B2 (ja) 遷移金属シリサイド膜、その製造方法及び製造装置並びに半導体装置
US20150179743A1 (en) Graphene as a Ge Surface Passivation Layer to Control Metal-Semiconductor Junction Resistivity
JP2004207272A (ja) ダイヤモンド電子素子
WO2022108908A1 (en) Low resistance pulsed cvd tungsten
TW202108815A (zh) 用於藉由循環沉積製程將氮化鉬膜沉積於基板表面上之方法及包括氮化鉬膜之相關半導體裝置結構
Hsieh et al. Improved process stability on an extremely thin amorphous/crystalline silicon interface passivation layer by using predeposition on the chamber wall
JP2006097100A (ja) 膜形成材料、膜形成方法、及び素子
Peng et al. The development of low-temperature atomic layer deposition of HfO2 for TEM sample preparation on soft photo-resist substrate
CN109518278B (zh) 一种富氮气氛增强氮化硼薄膜p型导电掺杂的方法
WO2023112171A1 (ja) シリコンボライド膜の形成方法
Nallan Chakravarthula Low temperature area-selective atomic layer deposition of NiO, Ni and Pd for next-generation nanomanufacturing
Elam et al. Atomic layer deposition applications 6

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171120

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171120

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070321

Termination date: 20180812

CF01 Termination of patent right due to non-payment of annual fee