CN1299166C - 使用双波长形成自动对准图案的方法 - Google Patents

使用双波长形成自动对准图案的方法 Download PDF

Info

Publication number
CN1299166C
CN1299166C CNB038025280A CN03802528A CN1299166C CN 1299166 C CN1299166 C CN 1299166C CN B038025280 A CNB038025280 A CN B038025280A CN 03802528 A CN03802528 A CN 03802528A CN 1299166 C CN1299166 C CN 1299166C
Authority
CN
China
Prior art keywords
wavelength
radiation
photoresist
lithographic
lithographic wavelength
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB038025280A
Other languages
English (en)
Other versions
CN1620634A (zh
Inventor
U·奥科罗安彦乌
A·C·博泰利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1620634A publication Critical patent/CN1620634A/zh
Application granted granted Critical
Publication of CN1299166C publication Critical patent/CN1299166C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/7045Hybrid exposures, i.e. multiple exposures of the same area using different types of exposure apparatus, e.g. combining projection, proximity, direct write, interferometric, UV, x-ray or particle beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明披露一种在次光刻尺度图案化细微结构的集成电路制造方法。该制造方法包括接续地曝光具有光碱产生剂和催化量的水的芳基烷氧基硅烷的薄膜。该薄膜涂层在设在基材上的现有的亲脂性光阻层上方,并以第一及第二光刻波长的辐射来曝照。该第一光刻波长短于该第二光刻波长。对第一光刻波长的曝光造成在该光阻层中形成一个自动对准光罩。

Description

使用双波长形成自动对准图案的方法
技术领域
本发明大致上关于自动对准图案的形成。详言的,本发明系关于使用双波长的自动对准图案的形成,以达到比现有紫外(UV)光光刻术所能达到更小的分辨率。
背景技术
半导体或集成电路(IC)产业的目标系在较小的芯片面积上制造出具有越来越高组件密度的集成电路,以达到更多的功能并减少制造的成本。对于这种高积集度的需求则不断地引导着电路尺寸及组件的细微结构(feature)缩小。至于缩小诸如场效晶体管中的闸极长度及导线宽度等结构尺寸的能力,则取决于光刻术的性能。
半导体制造技术中时常用到一个掩模(亦称为卷膜)或一个标线片(reticle)。藉由将辐射穿过该掩模或标线片,或辐射从该掩模或标线片上反射出来,而于半导体晶圆上形成图像。一般说来,该图像系聚焦于该晶圆上以图案化一层诸如光阻材料的材料。接着,该光阻材料可用来定义掺杂区域、沉积区域、蚀刻区域或其它与集成电路(IC)相关的结构。该光阻材料亦可定义与集成电路的金属层相关的导线或导垫。再者,该光阻材料可定义隔离区域、各晶体管闸或其它的晶体管结构与组件。
为了将图像或图案转印至光阻材料上,传统的光刻系统一般包括光源,该光源组构成用来提供一个或多个波长的电磁辐射或光线。该光源可产生波长为365nm(毫微米)、248nm及(或)193nm的辐射。由此种辐射而图案化的光阻材料系选用可反应此种辐射的波长的材料。最好在受到辐射入射的光阻材料的区域经历光化学反应,使得该光阻材料在后续显像工艺步骤中适当地变成可溶解或不可溶解。
由于集成电路细微结构的分辨率在某种程度上系正比于曝光波长,故应当使用较短的曝光波长(例如,157nm、126nm、或13.4nm)来图案化光阻材料。很遗憾的是,即使有任何的材料或工艺得以自洽地在如此短的波长下制造半导体集成电路组件,但是其种类非常少。然而,当试图在365nm、248nm或193nm的光刻系统中使用诸如有机光阻材料等传统的光阻材料时,并非全无问题。在较短光刻或曝光波长下,有机光阻材料于单层图案化应用中表现出很高的单位厚度的光吸收度。因此,传统的有机光阻材料对于照射于其上的辐射而言变得越来越不透明,而且其所需的光化学反应亦无法发生在整个材料的厚度上。
为克服此一缺点,已尝试以一层较薄的传统光阻材料(相较于使用较长的光刻波长的光阻材料层的厚度)用于较短的光刻波长。很不幸地,使用一层较薄的光阻材料是有问题的,尤其是对于蚀刻工艺而言。在其它方面,使用一层较薄的光阻材料将导致图案精确度较低、薄膜较不稳定及(或)图像效应较不足等问题。
因此,需要发展出一种系统与方法以有效地将传统光阻材料的使用,延伸至能够在诸如深紫外光(DUV)或极短紫外光范围(EUV)内的较短光刻波长下使用。进一步需要发展一种图案形成的系统与方法,在毋须大幅修改传统的光刻技术、材料或装备的前提下,俾达到使用较短光刻波长的细微结构的分辨率。更进一步需要发展一种工艺或方法,以提供图案自动对准并排除覆盖掩模的需求。
发明内容
本发明的某一实施例系相关于集成电路的工艺。该工艺包括以具有第一光刻波长的第一辐射曝照底材上所覆盖的光阻材料的上方部份,且依照掩模或标线片上所提供的图样,选择性地改变该光阻材料的上方部份。该工艺进一步包括以具有第二光刻波长的第二辐射曝照该光阻材料。该第一光刻波长系小于该第二光刻波长。该改变了的光阻材料上方部份对于第二辐射系不透明的。
优选地,光阻层的变换后的顶部的厚度至少为10nm。
本发明的另一实施例系相关于集成电路的制造系统。该系统系由以第一光刻波长提供第一辐射的第一光源以及以第二光刻波长提供第二辐射的第二光源所构成。该系统进一步包括包含于光阻层的自动对准掩模。该自动对准掩模系依照图案化了的掩模或标线片,以具有第一光刻波长的第一辐射曝照后所形成,该自动对准掩模包括顶部芳基烷氧基硅烷层的至少一个交叉连结或聚合区域。
优选地,该自动对准掩模具有介于10nm至10000nm间的厚度。
本发明的再一实施例系相关于一种将现有的DUV-248nm及DUV-193nm光阻材料延伸至157nm、127nm及13.4nm的光刻区域内使用的方法。该方法包括提供一具有短光刻波长的第一辐射。该方法进一步包括依照掩模或标线片上的图案,以改变底材上所覆盖的一层光阻层的上方部份。该改变了的光阻层上方部份包括至少一个第一辐射入射于其上的聚合区。该改变了的上方部份则系由掩模或标线片的图样所构成。
附图说明
第1图系显示硅晶圆底材上方的亲脂性光阻层的剖面示意图。
第2图系在亲脂性光阻层上方的有机三烷氧基硅烷、光碱产生剂及催化量的水的薄膜的剖面示意图。
第3图系第2图中所示集成电路的部份的剖面示意图,其中显示使用波长λ1的第一曝光步骤。
第4图系第3图中所示集成电路的部份的剖面示意图,其中显示在使用波长λ1的第一曝光步骤中,藉由从光碱产生剂来的光产生碱及催化量的水,而将有机三烷氧基硅烷层的曝光部份聚合化。
第5图系第4图中所示集成电路的部份的剖面示意图,其中显示紧接着以水/甲醇溶液洗去未聚合化的有机三烷氧基硅烷的自动对准图案形成步骤。
第6图系第5图中所示集成电路的部份的剖面示意图,其中显示紧接着以烘烤该聚合化的有机三烷氧基硅烷层的自动对准图案形成的步骤。
第7图系第6图中所示集成电路的部份的剖面示意图,其中显示使用波长λ2的泛射式曝光步骤。
第8图系第7图中所示集成电路的部份的剖面示意图,其中显示后曝光烘烤步骤。
第9图系第8图中所示集成电路的部份的剖面示意图,其中显示显像步骤。
第10图系以有机三烷氧基硅烷作为代表,波长介于150nm至200nm间的光吸收度光谱线图。
具体实施方式
此处所披露的较佳实施例可藉由以下的详细说明并配合所附图式而得以完全理解,其中,相同的参考数字系代表相同的组件。
以自动对准的方式将图案形成于光阻材料上的较佳实施例设计,将以参考第1图至第10图的方式予以描述。该较佳设计亦提供小于第一波长λ1的分辨率极限量级(双波长中的较短者)的次光刻细微结构分辨率。
请参考第1图,其中在集成电路(IC)的一部份上进行着较具优点的工艺的第一曝光步骤。该部份包括在底材12上所设置的光阻层14。底材12可为IC晶圆、半导体材料、绝缘材料、导体材料、上列材料上的层、或基础层。底材12可为工业标准的硅晶圆。底材12并非以限定的方式描述。
底材12可包括其上表面之上或之下的绝缘、导体或半导体层。该绝缘、导体或半导体层可沉积或成长于底材12之上,或者于底材12上的诸层上的层上。虽然层14系直接显示位于底材12之上,但是其亦可设在基础层、中间层、抗反射涂层或其它层之上。
光阻层14系选用对来自第一光源18的电磁辐射16具有光化学反应的材料(第3图)。光阻层14可由任何传统的正光阻材料构成。光阻层14较佳地系由对193nm或248nm波长的光敏感的亲脂性高分子材料所构成,其藉由自旋涂层的方式在底材12上涂抹一层具有100至500nm厚度的适当的光酸产生剂。
第一光源18可为任何数目的电磁辐射源。第一光源18可为单一光源或多重光源,藉以提供具较短波长的辐射16。第一光源18较佳地在深紫外光(DUV)或真空紫外光区域内(157nm,126nm)或在极短紫外光(EUV)区域内(譬如11.4nm,13.4nm)提供具较短波长(193nm,248nm)的辐射16。该短波长λ1的辐射16(亦称的为短光刻波长)较佳地得以在同时存在诸如o-硝基(苯甲醯)氨基甲酸脂(o-nitrobenzoyl carbamates)及氨基甲酸乙酯(urethane)、o-醯肟(o-acyloxime)、苯基苯甲醯甲酮安息香(benzoin)氨基甲酸脂(carbamate)、肟氨基甲酸乙酯(oxime-urethanes)等等的光碱产生剂(PBG)及催化量的水时,聚合诸如芳基三乙氧基硅烷(aryltriethoxysilane)的有机三烷氧基硅烷(organotrialkoxysilane)单体,如下方的方程式1所示。
第一光源18可为诸如F2准分子雷射(157nm)、XeCl雷射、ArF准分子雷射(193nm)或KrF雷射(248nm)等的雷射光源,或雷射产出的Xe电浆(13.4nm,11.4nm),或放电产出的电浆(13.4nm,11.4nm),或诸如电子束、离子束、珈玛射线等等的其它辐射。另外,光源18,例如氩离子雷射,可于不同的波长范围内放射出两种或更多波长的雷射光源。
依照掩模或标线片20上的图案,将来自第一光源18的辐射16透过该掩模或标线片20,照射至光阻层14。该掩模或标线片20较佳地系为传统的掩模或标线片,其中包括玻璃底材(例如,熔融石英)及不透明材料(例如,铬)。虽未图标,但亦可藉由于光源18及光阻层14之间提供其它诸如光学系统(例如,一个或多个镜片组)等的部件或装备,以将掩模20上的图像转印至光阻层14之上。
在一实施例中,将具有光碱产生剂的芳基烷氧基硅烷单体薄膜15(第2图)与催化量的水涂在亲脂性光阻层14之上,且以短波长光λ1曝照。若需要,可在该光阻层上涂上数层像六甲基二硅氨烷(hexamethyldisilazane)的类的黏着促进剂的单分子层以改进芳基烷氧基硅烷单体与该光阻层的黏着度。芳基烷氧基硅烷单体的范例为:苯基-t-丁基-醚三乙氧基硅烷(phenyl-t-butyl-ether triethoxysilane)、1-苯基-1-苯基-t-丁基醚二乙氧基硅烷(1-phenyl-1-phenyl-t-butylether diethoxysilane)及苯甲基-t-丁基醚三乙氧基硅烷(benzyl-t-butylether triethoxysilane)。可依照所需的特征将这些单体组合或混合。该单体较佳地具有关联于硅原子的可用的键结,其可藉由短波长曝光及后续的显像工艺而激活以促进聚合过程。该单体的光吸收谱线较佳地为波长的函数,其中,在较短波长范围内的光吸收度较低以达到目前的目标分辨率,而在较长波长范围内的光吸收度则较高使其对传统光阻较为敏感。虽然上述皆为较佳的特征,除非明确地在申请专利范围内要求,否则这些特征并不一定要求光阻材料必须涵盖于任何申请专利范围的范畴中。
透过掩模20的曝光,该光碱产生剂产生光碱,该光碱催化存在于薄膜15的曝光部份(第3图及第4图)的水中的芳基烷氧基硅烷单体的聚合。虽然该芳基烷氧基硅烷单体(请见区域19)在157nm系合理地透明,但该聚(芳基烷氧基硅烷)系相当地不透明(请见区域7)。该聚(芳基烷氧基硅烷)(区域17)在193nm的吸收度1系显著地高于在157nm的吸收度(见第10图)。
利用水/甲醇混合物来洗该芳基烷氧基硅烷/聚(芳基烷氧基硅烷)层(包括区域17及19的层15),而将未聚合的芳基烷氧基硅烷单体(区域19)自未曝光区域移除,留下在薄膜15(第5图)的曝光部份的该聚(芳基烷氧基硅烷)(区域17)。以适当的温度烘烤,可去除剩余的溶剂,留下一顶层聚(芳基烷氧基硅烷),该顶层有效地作为后续曝光步骤的掩模(第6图)。
以一较长的波长λ2,对该自动对准掩模/光阻组合(层14及薄膜15)进行泛射式曝光,可有效地以自动对准掩模的方式(第7图)转印该光阻层的未屏蔽区段(区域21)。应用传统的事后烘烤(第8图),接着在像是羟化四甲铵(TMAH)的碱性水溶液溶剂显影过程中将光阻的曝光部份洗去,留下该光阻未曝光区段23的自动对准掩模的图案。
光阻层14较佳地为正光阻,其对于较长紫外(UV)波长(例如,365nm、248nm或193nm)的辐射而言系透明的,而对于较短波长(例如,157nm、126nm或13.4nm)的辐射而言系半透明的。举例而言,光阻层14可为诸如Sumitomo Chemical公司的PAR720TM和PAR707TM等传统上用于193nm光刻的光阻,或者可为诸如Shipley公司的UV110TM-环境稳定化学放大光阻(ESCAP)等用于248nm光刻的光阻。
薄膜15及光阻层14形成具有两个独特且分离的层的双层光阻。聚合顶层(薄膜15)使其对于较长波长而言为不透明,而该下层(层14)却对较长的波长敏感。该下层可为较传统的光阻材料且不像该上层,该下层不得藉由较短波长辐射而有效地进行图案化。
于第3图的第一曝光步骤之后,发生自掩模20的图案转移,如聚合区域或层14的区域17所表示。聚合区域17具有细微结构分辨率约为辐射16的短曝光波长的半波长至波长的量级。继续该范例,藉由157nm的辐射曝光所制作的聚合区域17具有大约72至157nm的细微结构分辨率。另外,对于波长为126或13.4nm的辐射16而言,将分别得到可能约63至126nm或6.7至13.4nm的分辨率。
聚合区域17较佳地对于传统上用于曝照光阻层14的较长光刻波长(例如,365、248或193nm)而言系不透明的。这种不透明性允许该聚合区域17在一涉及部份10(第7图)的较长曝光波长步骤中作为自动对准掩模或标线片。
在第二曝光步骤中(第7图),掩模20(第3图)不再需要将图案转印至部份10。取而代之的,系以较具优势的薄膜15的聚合区域17作为由掩模20所提供的图案或图像的自动对准掩模。第二光源28以较长光刻或曝光波长,例如248nm,提供辐射30的泛射式曝光。所选择的该辐射30的波长及层14系令该层14的曝光区域(亦即,未由聚合区域17覆盖的区域)对于辐射30而言系透明的,且经历光化学反应,使其变成可溶解(第8图中的可溶解区域21),而位于聚合区域17下方的区域将不会曝光于辐射30之下,因为该聚合区域17系不透明的且保持为不可溶解(第8图中的不可溶解区域23)。
第一光源18及第二光源28可为放射出两种波长辐射的相同光源,亦即,长与短紫外光刻波长。另外,光源28可为准分子雷射、ND:YAG雷射、频率倍增ND:YAG雷射、氦氖扫描雷射或其它光源。亦可于光源28与部份10之间提供额外的部件或装备以提供照射于层14上所需的辐射30。
于是,于第二曝光步骤之后,掩模20得以第一曝光步骤中所决定的分辨率,将其所提供的图案或图像完全转印至光阻层14。该层14的曝光区域系可溶解区域21且该层14或区域23(第9图)(取决于聚合区域17)的未曝光区域变成不可溶解区域(见第8图及第9图)。在显影步骤中,藉由移除层14的可溶解区域17而使得仅有交叉连结的区域17及层14的不可溶解的区域21遗留在底材12之上(第9图)。该显影步骤较佳地使用一种传统上选择用来对层14所构成的材料显影的显影溶剂。举例而言,248nm光刻所使用的光阻可为0.24N羟化四甲基铵(tetramethylammonium hydroxide)显影剂,以移除可溶解区域21。
在本较佳实施例中,聚合区域17在经由短光刻波长辐射曝光后变为不可溶解。另外,应当理解该聚合区域17可经由长光刻波长辐射曝光而经历进一步的化学变化,只要该聚合区域17在第二曝光步骤中仍旧具有掩模的功能。该聚合区域17较佳地在显影步骤后遗留下来,且协助涉及部份10的后续工艺步骤,例如蚀刻步骤或沉积步骤。区域17在各向异性蚀刻中,相对于光阻层,可改善顶层的蚀刻稳定度,因为在电浆蚀刻条件下,区域17得以变换为二氧化硅(SiO2)。
如此,在此描述了一种较具优势的方案,其可使用传统装备及材料而达到较小的结微结构分辨率。使用一系列具有不同波长的辐射,特别系先使用短光刻波长接着再使用长光刻波长,传统上在长光刻波长中所使用的光阻则可用短光刻波长的辐射而图案化。再者,该图案分辨率系小于使用长光刻波长所能达到者,该图案分辨率系在该短光刻波长的量级。尤有甚者,藉由在图案化工艺中所产生的自动对准掩模可排除所可能的掩模覆盖或对准的问题。
请参考第10图,其中显示芳基硅氧烷(arylsiloxane)材料的光吸收度与波长的关系。沿着X轴108(波长λ1)在Y轴110上所画的线102表示光吸收度(1/μm)。同样地,线102表示聚(1-苯基-1-苯基-t-丁基醚硅氧烷)的光吸收度,线104表示聚(苯基-t-丁基醚硅氧烷),而线106同样地表示聚(苯基-t-丁基醚硅氧烷)的光吸收度。在193nm与157nm的光吸收度改变或差异较具优势地允许设计一个优越的光刻显像工艺。
应当理解虽然提供了较佳实施例及特定范例,但其均仅为说明的目的而非要用以限定于此处所描述的精确细节。例如,虽然已描述了特定波长的光,但亦可使用其它波长的光。在申请专利范围的均等范畴及范围内可对细节进行不同的修改而不致偏离申请专利范围中所定义的内容。

Claims (9)

1.一种集成电路制造方法,其特征为:
以具有第一光刻波长λ1的第一辐射(16)对形成于底材之上的光阻材料(14)的顶部进行曝光,该光阻材料的顶部包括芳基烷氧基硅烷(15);
依照掩模或标线片所提供的图案将该光阻材料(14)的顶部进行选择性的变换;以及
以具有第二光刻波长λ2的第二辐射(30)对该光阻材料(14)进行曝光,其中该第一光刻波长λ1小于该第二光刻波长λ2,且该光阻材料(14)的变换后的顶部对于该第二辐射(30)而言是不透明的。
2.如权利要求1所述的制造方法,其特征为,该第二光刻波长是选择365nm、248nm及193nm中的任何其中之一。
3.如权利要求1或2所述的任何一所述的制造方法,其特征为,以第一辐射进行的曝光步骤先于以第二辐射进行的曝光步骤。
4.如权利要求3所述的制造方法,进一步的特征为,将该光阻材料(14)的变换后的顶部作为自动对准掩模,用于以该第二辐射进行的曝光步骤。
5.如权利要求4所述的制造方法,其特征为,该光阻材料的变换后的顶部是由聚合的有机芳基烷氧基硅烷材料构成。
6.如权利要求5所述的制造方法,其特征为,该变换后的顶部的厚度至少为10nm。
7.一种集成电路制造系统,其特征为:
第一光源(18),其以第一光刻波长λ1提供第一辐射(16);
第二光源(28),其以第二光刻波长λ2提供第二辐射(30);以及
包括在光阻层(14)中的自动对准掩模,该自动对准掩模是依照图案化的掩模或标线片以具有第一光刻波长的第一辐射经由曝光所形成,该自动对准掩模包括顶部芳基烷氧基硅烷层(15)的至少一个交叉连结或聚合区域(17),其特征为,第一光刻波长λ1小于第二光刻波长λ2
8.如权利要求7所述的系统,其特征为,该自动对准掩模位于该光阻层(14)的顶部,且具有介于10nm至10000nm之间的厚度。
9.如权利要求7所述的系统,其特征为,该第一光刻波长λ1是选择自157nm、126nm及13.4nm中的任何其中之一。
CNB038025280A 2002-02-27 2003-02-21 使用双波长形成自动对准图案的方法 Expired - Fee Related CN1299166C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/083,914 US6764808B2 (en) 2002-02-27 2002-02-27 Self-aligned pattern formation using wavelenghts
US10/083,914 2002-02-27

Publications (2)

Publication Number Publication Date
CN1620634A CN1620634A (zh) 2005-05-25
CN1299166C true CN1299166C (zh) 2007-02-07

Family

ID=27753386

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038025280A Expired - Fee Related CN1299166C (zh) 2002-02-27 2003-02-21 使用双波长形成自动对准图案的方法

Country Status (9)

Country Link
US (1) US6764808B2 (zh)
EP (1) EP1478978B1 (zh)
JP (1) JP2005519456A (zh)
KR (1) KR20040094706A (zh)
CN (1) CN1299166C (zh)
AU (1) AU2003211152A1 (zh)
DE (1) DE60329371D1 (zh)
TW (1) TWI278013B (zh)
WO (1) WO2003073165A2 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10138105A1 (de) * 2001-08-03 2003-02-27 Infineon Technologies Ag Fotolack und Verfahren zum Strukturieren eines solchen Fotolacks
US7501230B2 (en) * 2002-11-04 2009-03-10 Meagley Robert P Photoactive adhesion promoter
DE10309266B3 (de) * 2003-03-04 2005-01-13 Infineon Technologies Ag Verfahren zum Bilden einer Öffnung einer Licht absorbierenden Schicht auf einer Maske
DE10310781A1 (de) * 2003-03-12 2004-09-30 Infineon Technologies Ag Verfahren zum Betreiben eines Mikroprozessors und eine Mikroprozessoranordnung
US7265366B2 (en) * 2004-03-31 2007-09-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2006085741A1 (en) 2005-02-09 2006-08-17 Stichting Dutch Polymer Institute Process for preparing a polymeric relief structure
US7816072B2 (en) * 2005-05-02 2010-10-19 Tokyo Ohka Kogyo Co., Ltd. Positive resist composition and method for forming resist pattern
US20070166649A1 (en) * 2006-01-18 2007-07-19 Cheng-Hung Yu Method of forming a micro device
CN100465666C (zh) * 2006-01-24 2009-03-04 联华电子股份有限公司 微元件制作方法
JP2007287928A (ja) * 2006-04-17 2007-11-01 Nec Electronics Corp 半導体集積回路およびその製造方法ならびにマスク
JP4660826B2 (ja) * 2006-08-18 2011-03-30 山栄化学株式会社 レジストパターンの形成方法
US7863150B2 (en) * 2006-09-11 2011-01-04 International Business Machines Corporation Method to generate airgaps with a template first scheme and a self aligned blockout mask
KR101023077B1 (ko) * 2008-10-27 2011-03-24 주식회사 동부하이텍 마스크 패턴 형성 방법
JP6321189B2 (ja) 2014-01-27 2018-05-09 東京エレクトロン株式会社 パターン化膜の臨界寸法をシフトするシステムおよび方法
WO2016025210A1 (en) 2014-08-13 2016-02-18 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
US11294273B2 (en) * 2019-10-25 2022-04-05 Innolux Corporation Mask substrate and method for forming mask substrate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4704347A (en) * 1985-02-18 1987-11-03 U.S. Philips Corporation Method of manufacturing a semiconductor device, in which a photolacquer mask is formed by means of a two-layer lacquer system.
US4810601A (en) * 1984-12-07 1989-03-07 International Business Machines Corporation Top imaged resists
EP0366503A2 (en) * 1988-10-28 1990-05-02 Hewlett-Packard Company Chemical compositions for improving photolithographic performance
US5180655A (en) * 1988-10-28 1993-01-19 Hewlett-Packard Company Chemical compositions for improving photolithographic performance
JPH0842365A (ja) * 1994-07-28 1996-02-13 Toyota Motor Corp セラミックス製バタフライ弁およびその製造方法
US6100010A (en) * 1998-02-23 2000-08-08 Sharp Kabushiki Kaisha Photoresist film and method for forming pattern thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0098922A3 (en) 1982-07-13 1986-02-12 International Business Machines Corporation Process for selectively generating positive and negative resist patterns from a single exposure pattern
JPH09319097A (ja) * 1996-01-16 1997-12-12 Sumitomo Chem Co Ltd レジストパターンの形成方法
FR2812450B1 (fr) 2000-07-26 2003-01-10 France Telecom Resine, bi-couche de resine pour photolithographie dans l'extreme ultraviolet (euv) et procede de photolithogravure en extreme ultraviolet (euv)
US7223227B2 (en) 2002-05-13 2007-05-29 Pflueger D Russell Spinal disc therapy system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4810601A (en) * 1984-12-07 1989-03-07 International Business Machines Corporation Top imaged resists
US4704347A (en) * 1985-02-18 1987-11-03 U.S. Philips Corporation Method of manufacturing a semiconductor device, in which a photolacquer mask is formed by means of a two-layer lacquer system.
EP0366503A2 (en) * 1988-10-28 1990-05-02 Hewlett-Packard Company Chemical compositions for improving photolithographic performance
US5180655A (en) * 1988-10-28 1993-01-19 Hewlett-Packard Company Chemical compositions for improving photolithographic performance
JPH0842365A (ja) * 1994-07-28 1996-02-13 Toyota Motor Corp セラミックス製バタフライ弁およびその製造方法
US6100010A (en) * 1998-02-23 2000-08-08 Sharp Kabushiki Kaisha Photoresist film and method for forming pattern thereof

Also Published As

Publication number Publication date
US6764808B2 (en) 2004-07-20
TW200303573A (en) 2003-09-01
AU2003211152A1 (en) 2003-09-09
US20030162135A1 (en) 2003-08-28
TWI278013B (en) 2007-04-01
EP1478978A2 (en) 2004-11-24
EP1478978B1 (en) 2009-09-23
KR20040094706A (ko) 2004-11-10
CN1620634A (zh) 2005-05-25
WO2003073165A3 (en) 2003-10-16
DE60329371D1 (de) 2009-11-05
JP2005519456A (ja) 2005-06-30
WO2003073165A2 (en) 2003-09-04

Similar Documents

Publication Publication Date Title
CN1299166C (zh) 使用双波长形成自动对准图案的方法
US8741551B2 (en) Method and composition of a dual sensitive resist
US9012132B2 (en) Coating material and method for photolithography
DE60106229T2 (de) Ozon-verstärktes silylierungsverfahren zur erhöhung des ätzwiderstands von dünnen resistschichten
US8415091B2 (en) Water mark defect prevention for immersion lithography
KR100913005B1 (ko) 마스크 패턴 형성 방법
US20060160028A1 (en) Method of forming fine patterns of a semiconductor device
CN101335198A (zh) 形成半导体器件的精细图案的方法
US9058997B2 (en) Process of multiple exposures with spin castable films
US6489082B1 (en) Method for pattern formation and process for preparing semiconductor device
CN101501570B (zh) 光刻胶组成物及其图案化方法
Sheats Photoresists for deep UV lithography
KR100200305B1 (ko) 원자외선용 감광막 및 이를 이용한 감광막패턴형성방법
US20130330672A1 (en) Method for enhancing lithographic imaging of isolated and semi-isolated features
US7081325B2 (en) Photoresist polymer and photoresist composition including the same
JP2560773B2 (ja) パターン形成方法
CN101452206B (zh) 形成掩模图案的方法
US7867687B2 (en) Methods and compositions for reducing line wide roughness
JP3766245B2 (ja) パタン形成方法および半導体装置の製造方法
KR100669547B1 (ko) 포토레지스트용 오버코팅 조성물 및 이를 이용한포토레지스트 패턴 형성방법
JP3766235B2 (ja) パタン形成方法および半導体装置の製造方法
KR100383636B1 (ko) 반도체 장치의 패턴 형성방법
US20220252982A1 (en) Photoresist compositions and methods of manufacturing integrated circuit device using the same
US20090220896A1 (en) Pattern forming method
Endo et al. Azide‐Styrene Resin Negative Deep UV Resist for KrF Excimer Laser Lithography

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ADVANCED MICRO DEVICES INC

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES INC.

Effective date: 20100708

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA, USA TO: GRAND CAYMAN ISLAND RITISH CAYMAN ISLANDS

TR01 Transfer of patent right

Effective date of registration: 20100708

Address after: Grand Cayman, Cayman Islands

Patentee after: Globalfoundries Semiconductor Inc.

Address before: American California

Patentee before: Advanced Micro Devices Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070207

Termination date: 20190221