CN116615796A - 成膜方法以及等离子处理方法 - Google Patents

成膜方法以及等离子处理方法 Download PDF

Info

Publication number
CN116615796A
CN116615796A CN202180009204.XA CN202180009204A CN116615796A CN 116615796 A CN116615796 A CN 116615796A CN 202180009204 A CN202180009204 A CN 202180009204A CN 116615796 A CN116615796 A CN 116615796A
Authority
CN
China
Prior art keywords
plasma
film
gas
pattern
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180009204.XA
Other languages
English (en)
Inventor
佐藤清彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Publication of CN116615796A publication Critical patent/CN116615796A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

为了能形成用于图案的侧壁保护、膜质良好且蚀刻速率低并且侧壁的覆盖范围良好的膜,成膜方法具有:第1工序,在对真空处理室供给气体的同时生成等离子,通过该生成的等离子在被处理基板的表面形成膜;第2工序,在该第1工序后,通过等离子除去卤素元素;和第3工序,在该第2工序后,通过等离子使膜氧化或氮化。

Description

成膜方法以及等离子处理方法
技术领域
本发明涉及半导体基板上的成膜方法以及等离子处理方法。
背景技术
在半导体工艺中,近年来,为了以不足10nm的微细的间距形成图案,变得需要图案的侧壁保护技术。
在已有的成膜技术中,已知:1)等离子CVD法,通过使用等离子等,同时对腔室内供给成膜种和反应种这样的2种以上的分子,进行成膜;2)等离子原子层沉积法(等离子ALD法),交替供给成膜种(吸附种)和反应种,仅将反应种通过等离子来离子或中性自由基化,从而进行成膜。
在专利文献1中,公开了如下技术:在始终流过中性自由基氧的同时,流过前体(含硅气体),在吹扫后进行等离子活性。
在专利文献2中,公开了一般已知的等离子ALD的次序。
在非专利文献1中,公开了等离子重叠的脉冲CVD的序列,在始终供给氧(O2)的同时,先导入四氯化硅(SiCl4),使等离子延迟产生。在该序列中,虽然没有成膜速度的温度依赖性,HF wet的蚀刻速率在100℃以下的低温下增大(膜质变差)。
在非专利文献2中,在图2中示出了一般已知的ALD以及等离子ALD的序列。
现有技术文献
专利文献
专利文献1:美国专利公开US 2015/0110968 A1
专利文献2:美国专利公开US 2013/0084714 A1
非专利文献
非专利文献1:Pieter C.Rowlette,et al.,″Digital Control of SiO2 FilmDeposition at Room Temperature″,THE JOURNAL OF PHYSICAL CHEMISTRY LETTERS,2009,113,6906-6909
非专利文献2:Seung-Woo Choi,et al.,″Plasma Enhanced Atomic LayerDeposition of Al2O3 and TiN″,Journal of Korean Physical Society,Vol.42,February 2003,pP.S975~S979
发明内容
发明要解决的课题
专利文献1公开的技术由于始终将前体氧化,是CVD与ALD的中间的成膜方法,对易于通过氧而挥发的包含碳气体的前体是有效的,但对这以外的气体种类效果弱,推测为膜质变差。
在专利文献2公开的手法中,一方面,有若选择前体就能得到非常良好的覆盖范围这样的优点,另一方面,有成膜速度慢这样的问题。
在非专利文献1公开的方法中,通过使成膜速度慢,能使蚀刻速率改善(降低),但成膜速度和蚀刻速率成为此消彼长。
在非专利文献2公开那样的一般的等离子ALD的序列中,等离子的产生是1次/循环。
在作为保护侧壁的同时形成微细的间距的图案的手段而使用了作为已有的成膜技术的等离子CVD的情况下,虽然成膜速度块,但在成膜为不足10nm的微细的间距的图案的情况下,产生形成悬垂这样的问题。此外,在现有的等离子ALD中,存在虽然覆盖范围良好但成膜速度慢这样的问题(成膜速度和覆盖范围此消彼长)。
进而,ALD中通常使用的氨基硅烷系的气体种类在常温下反应性也高,存在易于成为异物这样的问题。
为了形成不足10nm的微细的间距的图案,必须解决已有技术中的成膜速度与覆盖范围的此消彼长、耐蚀刻性能、异物的问题,并满足膜质良好且蚀刻速率低、形成侧壁的覆盖范围良好的膜这样的需求,但在上述的现有技术中,均不能满足这样的需求。
本发明通过解决上述的现有技术的课题,提供一种成膜方法以及等离子处理方法,能形成用于图案的侧壁保护、膜质良好且蚀刻速率低并且侧壁的覆盖范围良好的膜。
用于解决课题的手段
为了解决上述的现有技术的课题,在本发明中,成膜方法具有:第1工序,在对真空处理室供给气体的同时生成等离子,通过该生成的等离子在被处理基板的表面形成膜;第2工序,在该第1工序后,通过等离子除去卤素元素;以及第3工序,在该第2工序后,通过等离子使膜氧化或氮化。
此外,为了解决上述的现有技术的课题,在本发明中,成膜方法具有:第1工序,在将气体对真空处理室供给给定时间后,生成等离子,通过该生成的等离子在被处理基板的表面形成膜;第2工序,在该第1工序后,通过等离子除去卤素元素;以及第3工序,在该第2工序后,通过等离子使膜氧化或氮化。
进而,为了解决上述的现有技术的课题,在本发明中,等离子处理方法具有:第1工序,在对真空处理室供给气体的同时生成等离子,通过该生成的等离子在被处理基板的表面形成膜;第2工序,在该第1工序后,通过等离子除去卤素元素;第3工序,在该第2工序后,通过等离子使所述膜氧化或氮化;和第4工序,在该第3工序后,对被处理膜进行等离子蚀刻。
进而,此外,为了解决上述的现有技术的课题,在本发明中,等离子处理方法具有:第1工序,在将气体对真空处理室供给给定时间后,生成等离子,通过该生成的等离子在被处理基板的表面形成膜;第2工序,在该第1工序后,通过等离子除去卤素元素;第3工序,在该第2工序后,通过等离子使所述膜氧化或氮化;和第4工序,在该第3工序后,对被处理膜进行等离子蚀刻。
发明的效果
根据本发明,能形成用于形成于被处理基板的掩模用的图案的侧壁保护、膜质良好且蚀刻速率并且侧壁的覆盖范围良好的膜。
此外,根据本发明,能在对所述被处理基板进行等离子蚀刻处理的蚀刻处理装置的内部形成用于形成于被处理基板的掩模用的图案的侧壁保护的膜。
附图说明
图1是表示本发明的实施例所涉及的等离子处理装置的概略的结构的框图。
图2是表示本发明的实施例所涉及的保护膜形成工序的概略的流程图。
图3是与本发明的实施例所涉及的保护膜形成的各工序对应的被处理基板的截面图。
图4是表示本发明的实施例所涉及的保护膜形成的详细的工序的流程图。
图5是与本发明的实施例所涉及的保护膜形成的详细的工序对应的时序图。
图6是表示本发明的实施例所涉及的等离子A的时间与归一化成膜速度(Normalized GPC,Growth per cycle)以及归一化蚀刻速率(Normalized ER,Etchingrate)的相关的图表。
图7是表示本发明的实施例所涉及的等离子B的时间与归一化成膜速度(Normalized GPC)以及归一化蚀刻速率(Normalized ER)的相关的图。
图8是表示本发明的实施例所涉及的等离子C的时间与归一化成膜速度(Normalized GPC)以及归一化蚀刻速率(Normalized ER)的相关的图。
图9是表示利用了本发明的实施例所涉及的保护膜的蚀刻加工的流程的图。
图10是表示对形成了本发明的实施例所涉及的保护膜的图案进行蚀刻处理时的等离子C的时间以及压力与之后的蚀刻加工时的底切的相关的图。
具体实施方式
本发明提供一种半导体基板上的成膜方法,在以具有10nm以下的间距的图案为掩模进行蚀刻加工的情况下,能在蚀刻装置的内部形成用于掩模图案的侧壁保护、膜质良好且蚀刻速率低并且侧壁的覆盖范围良好的膜。
即,在本发明中,在1循环中以3步使等离子(等离子A、B、C)产生,在等离子A、B、C之间进行使用惰性气体的吹扫,在等离子A中,使用成膜气体(含有硅以及卤素)在图案的表面形成1原子层到数原子层的薄的层的膜,在等离子B中,使用用于除去被取入到形成于图案的表面的1原子层到数原子层的薄的膜中以及膜的表面的卤素的气体(含有氢),在等离子C中,使用氧化或氮化气体(含有氧或氮)使形成于图案的表面的1原子层到数原子层的薄的膜氧化或氮化,将上述操作作为1循环,将其多次重复进行,由此在图案的表面形成膜质良好、覆盖范围良好的保护膜。
由此,在本发明中,能达成所期望的成膜速度以及蚀刻速率(耐蚀刻性能)、覆盖范围。
此外,通过使用室温下的反应性低的卤素硅烷作为成膜气体,能预期以下改善:该改善能减少例如通过残留于气体配管内的吸附气体与大气中的氧反应而产生的异物的产生量。
此外,通过使等离子在3阶段产生来进行处理,在第1阶段的等离子A中,使成膜速度增加到50~100倍以上,在第2阶段的等离子B中,使耐蚀刻性能改善,在第3阶段的等离子C中,能得到耐蚀刻性能的改善以及真实图案形状的改善(底切减少)等效果。
以下,基于附图来详细说明本发明的实施方式。在用于说明本实施方式的全部图中,对具有相同功能的要素标注相同的附图标记,原则上省略其重复的说明。
但是,本发明并不限定于以下所示的实施方式的记载内容来解释。只要是本领域技术人员,就容易理解,能在不脱离本发明的思想或主旨的范围内变更其具体的结构。
【实施例】
最初在图1示出本发明实施方式的一例。在此,以ECR(电子回旋共振,ElectronCyclotron Resonance)的等离子处理装置1的结构为例来表示。
本实施例所涉及的等离子处理装置1具备:真空容器100;形成大量用于对真空容器100的内部供给气体的孔112的簇射板102;在内部具备加热器111并载置被处理基板101的样品载置台103;等离子产生用电源(微波电源)104;接受来自等离子产生用电源104的电力并使微波产生的微波产生源1141;传播在微波产生源1141产生的微波电力的矩形波导管1142;调整在矩形波导管1142的内部传播的微波电力的微波匹配器1143;用于将在矩形波导管1142的内部传播的微波电力传播到圆形波导管1145的变换器1144;使从圆形波导管1145传播的微波电力谐振的空腔室1146;在空腔室1146和真空容器100的内部形成磁场的电磁铁1147;位于空腔室1146与真空容器100之间且在透过微波电力的同时保持真空容器100内部的真空的由电介质形成的分隔板1148;调整对真空容器100的内部供给的气体的流量的质量流量控制器105;将在质量流量控制器105调整过流量的气体供给到簇射板102与分隔板1148之间的气体管线106;与真空容器100连接的排气管107;调整真空容器100的内部的压力的压力控制阀108;经过排气管107和压力控制阀108将真空容器100的内部排气成真空的泵109;控制加热器111和等离子产生用电源104、电磁铁1147、质量流量控制器105、压力控制阀108、泵109的控制部110。
在具有这样的结构的等离子处理装置1中,在将被处理基板101载置于样品载置台103的状态下,将真空容器100的内部用泵109排气成真空。在真空容器100的内部达到给定的真空度的状态下,用样品载置台103的内部的加热器111将被处理基板101加热到给定的温度。
在被处理基板101被加热到给定的温度的状态下,将由气体A、B、C、D构成的最低4种类的气体中的任意1种类或多种类的气体在被控制部110控制的质量流量控制器105调整流量,并经过气体管线106供给到簇射板102与分隔板1148之间,从簇射板102的孔112供给到真空容器100的内部。
在该状态下,对电磁铁1147从未图示的电源施加电力来在真空容器100的内部形成磁场,在簇射板102与样品载置台103之间的区域1100使用等离子产生用电源104来使等离子产生,在被处理基板101上生成膜。
在本实施例中,提供一种半导体基板上的成膜方法,能使用图1那样的结构的等离子处理装置1在蚀刻装置的内部形成用于微细的图案的侧壁保护、膜质良好且蚀刻速率低并且侧壁的覆盖范围良好的膜。
在图2中示出本实施例中的形成用于侧壁保护的膜的处理的基本流程。此外,在图3中,与图2的基本流程对应地示出被处理基板101的表面的硅(Si)层300和形成于其上的成为蚀刻处理用的掩模的图案301的截面形状。
在图2所示的处理流程中,形成于成为对象的被处理基板101的表面的图案301具有图3的(a)所示那样的截面形状,即,具有在硅(Si)层300上形成基于氧化硅膜的图案(氧化硅膜图案)301的结构。
以这样的被处理基板101为对象,首先,使气体流到真空容器100的内部并使其吸附在氧化硅膜图案301的表面,从而在氧化硅膜图案301的表面吸附图3的(b)所示那样的前体302(S201)。接下来,使真空容器100的内部产生等离子A,来对形成有该前体302的被处理基板101实施第1等离子处理,从而在氧化硅膜图案301的表面形成1原子层到数原子层的膜303(图3的(c))(S202)。
接下来,使真空容器100的内部产生等离子B,将该形成的膜303中所含的卤素元素(氯)等通过第2等离子处理除去(S203)。
进而,使真空容器100的内部产生等离子C,通过对除去了卤素元素的膜304(图3的(d))实施第3等离子处理,来形成氧化或氮化的膜305(图3的(e))(S204)。
通过将该S201到S204的工序重复执行给定的次数(S205),来在氧化硅膜图案301的表面形成目标的层数的保护膜306(图3的(f))。
使用图4的流程图以及图5的时序图来详细说明图2以及图3中说明的本实施例的成膜方法。在本实施例中,作为气体,有A、B、C、D的最低4种类,进行3步的等离子处理。
首先,在图5的时刻t1,从气体管线106对分隔板1148与簇射板102之间以在质量流量控制器105调整过各自的流量的状态供给成膜气体A:251和吹扫气体D:254,经过簇射板102的孔112对真空容器100的内部供给成膜气体A:251和吹扫气体D:254(S401),将该状态持续给定时间(S402中成为“是”为止)。
该工序相当于图2的流程图中的S201的前体形成工序,被处理基板101的截面形状成为图3的(b)所示那样的状态。
接下来,在经过给定时间后(S402“是”),在时刻t2将等离子电源:256开启,来使等离子A:2561产生(S403),在经过给定时间后(S404“是”),在时刻t3将等离子电源:256关闭,来使等离子A:2561消失,并停止成膜气体A:251的供给(S405)。
接下来,在停止成膜气体A:251的供给的状态下对真空容器100的内部持续供给吹扫气体D:254,通过吹扫气体D:254置换真空容器100的内部的气氛。在经过给定的时间后(S406“是”),在时刻t4将吹扫气体D:254的供给停止(S407)。在该状态下,通过泵109将真空容器100的内部排气而成为高真空状态,将残留于真空容器100的内部的气体从真空容器100除去。
该S403到S407的工序与图2的流程图中的S202的在等离子A中膜形成的工序对应,被处理基板101的截面形状成为图3的(c)所示那样的状态。
接下来,在经过给定时间后(S408“是”),在时刻t5对真空容器100的内部供给反应气体B:252和吹扫气体D:254,并且将等离子电源:256开启,来使真空容器100的内部产生等离子B:2562(S409)。
在使该状态持续给定时间后(S410“是”),在时刻t6将等离子电源:256关闭来使等离子B:2562消失,并且停止反应气体B:252的供给(S411)。
接下来,在停止成膜气体B:252的供给的状态下对真空容器100的内部持续供给吹扫气体D:254,通过吹扫气体D:254置换真空容器100的内部的气氛。在经过给定的时间后(S412“是”),在时刻t7将吹扫气体D:254的供给停止(S413)。在该状态下,通过泵109将真空容器100的内部排气而成为高真空状态,将残留于真空容器100的内部的气体从真空容器100除去。
该S409到S413的工序与图2的流程图中的S203的在等离子B中膜中的Cl除去工序对应,被处理基板101的截面形状成为图3的(d)所示那样的状态。
接下来,在经过给定时间后(S414“是”),在时刻t8对真空容器100的内部供给反应气体C:253和吹扫气体D:254,并且将等离子电源256开启,来使真空容器100的内部产生等离子C:2563(S415)。
在使该状态持续给定时间后(S416“是”),在时刻t9将等离子电源:256关闭来使等离子C:2563消失,并且停止反应气体C:253的供给(S417)。
在停止该成膜气体B:252的供给的状态下对真空容器100的内部持续供给吹扫气体D:254,通过吹扫气体D:254置换真空容器100的内部的气氛。在经过给定的时间后(S418“是”),在时刻t10停止吹扫气体D:254的供给(S419)。在该状态下,通过泵109将真空容器100的内部排气而成为高真空状态,将残留于真空容器100的内部的气体从真空容器100除去。
该S415到S419的工序与图2的流程图中的S204的在等离子C中将膜氧化或氮化的工序对应,被处理基板101的截面形状成为图3的(e)所示那样的状态。
接下来,在经过给定时间后(S420“是”),检查是否重复执行了给定次数的S401~S420的工序(S421),在不足给定的次数的情况下(S421“否”),回到S401,执行一系列处理。另一方面,在重复执行了给定的次数的情况(S421“是”),结束保护膜形成工序。
该S401到S420的工序与图2的流程图中的S201到S204的工序对应,在成为S421“是”的状态下,被处理基板101的截面形状成为图3的(f)所示那样的状态。
在此,成膜气体A:251(图1的气体A)设为含有硅以及卤素的气体,作为一例,使用四氯化硅,反应气体B:252(图1的气体B)是用于除去卤素的气体,作为一例,使用氢,反应气体C:253(图1的气体C)是引起氧化或氮化、碳氮化的气体,作为一例,使用氧。此外,吹扫气体D:254(图1的气体D)是惰性气体,作为一例,使用氩。
在本实施例中,为了得到后述的所期望的膜的膜质,如图1所示那样,在各气体系统的每一者中搭载质量流量控制器105。
此外,作为成膜气体A:251,设为四氯化硅,作为反应气体B:252,设为氢,作为反应气体C:253,设为氧,作为吹扫气体D:254,设为氩,这样的气体种类是例示,例如,作为成膜气体A:251,还能使用二氯硅烷、三氯硅烷、二甲基二氯硅烷、三甲基氯硅烷、甲基三氯硅烷这样的气体种类。同样地,作为反应气体B:252,还能使用氨这样的含氢气体来除去卤素。此外,作为反应气体C:253,在希望成膜氧化膜的情况下,使用一氧化碳、二氧化碳、氮氧化物(NO2)这样的含氧的气体,在希望成膜氮化膜的情况下,使用氮、氨等含氮气体,在希望成膜碳氮化膜的情况下,使用甲烷与氮这样的混合气体。进而,作为吹扫气体D:254,能使用氦等。
进而,期望通过将开始对真空容器100供给成膜气体A:251的时刻t1和使等离子A:2561产生的时刻t2错开,来在真空容器100的内部的表面充分吸附气体后进行成膜,但开始对真空容器100供给成膜气体A:251的时刻t1和使等离子A:2561产生的时刻t2也可以相同。
在图1所示的等离子处理装置1的结构中,示出了电子回旋共振(ECR,ElectronCycrotron Resonance)的示例,但等离子源即使是电容耦合型等离子(CCP,CapacitivelyCoupled Plasma)、感应耦合型等离子(ICP,Inductively Coupled Plasma),也能使用。
图6的图表600表示用使用图1所示的等离子处理装置1基于图2到图5所示的本实施例的处理流程以及时序图形成的膜的成膜速度除以设为基准的所期望的成膜速度而归一化的归一化成膜速度(Normalized GPC,Growth Per Cycle)610、以及用所形成的膜的干式蚀刻速率除以设为基准的所期望的干式蚀刻速率而归一化的归一化干式蚀刻速率(Normalized ER)620的等离子A:2561的时间依赖性。
在此,干式蚀刻速率测定时的蚀刻条件采用使用CF4/CHF3的气体并使用基板偏压进行各向异性蚀刻的条件,等离子B:2562的持续时间(图5中的t5到t6的时间)设为3秒,等离子C:2563的持续时间(图5中的t8到t9的时间)设为10秒。纵轴的归一化GPC以及归一化ER为1的归一值是目标值,期望归一化成膜速度610为1.0以上(目标值以上),归一化干式蚀刻速率420为1.0以下(目标值以下)。
从该图可知,归一化成膜速度610通过使用等离子A:2561(图6中横轴的等离子A时间为1秒以上的数据),成为不使用等离子A:2561的情况:611(图6中横轴的等离子A时间为0秒的数据)的50倍以上,此外可知,若将使等离子A:2561产生的时间设为4秒以上,则虽然归一化成膜速度610增大,但归一化干式蚀刻速率620急剧增大。
由于作为侧壁保护那样的膜,归一化干式蚀刻速率620低的膜为好,因此可知,使等离子A:2561持续的时间(图5中的t2到t3的时间)在1~3秒之间存在能兼顾成膜速度和蚀刻速率的窗口。
图7的图表700表示使用图1所示的等离子处理装置1基于图2到图5所示的本实施例的处理流程以及时序图形成的膜的归一化成膜速度(Normalized GPC,Growth PerCycle)710、以及归一化干式蚀刻速率(Normalized ER)720的等离子B:2562的时间依赖性。在此,干式蚀刻速率测定时的蚀刻条件采用使用CF4/CHF3的气体并使用基板偏压来进行各向异性蚀刻的条件,等离子A:2561的持续时间设为1秒,等离子C:2563的持续时间设为10秒。
从该图表700可知,等离子B:2562的持续时间对归一化成膜速度710的影响少,归一化成膜速度710大致没有变化,但若等离子B:2562的持续时间成为3秒以上,归一化干式蚀刻速率720就降低至归一值以下(归一化干式蚀刻速率720为1以下)。
图8的图表800表示使用图1所示的等离子处理装置1基于图2到图5所示的本实施例的处理流程以及时序图形成的膜的归一化成膜速度(Normalized GPC,Growth PerCycle)810、以及归一化干式蚀刻速率(Normalized ER)820的等离子C:2563的时间依赖性。在此,干式蚀刻速率测定时的蚀刻条件采用使用CF4/CHF3的气体并使用基板偏压来进行各向异性蚀刻的条件,等离子A:2561的持续时间设为1秒,等离子B:2562的持续时间设为3秒。
从图表800可知,等离子C:2563的持续时间对归一化成膜速度810的影响少,归一化成膜速度810大致没有变化,但若等离子C:2563的持续时间成为10秒以上,归一化干式蚀刻速率820就低于归一值(纵轴的归一化干式蚀刻速率820为1)。
从以上的结果可知,在将等离子A:2561的持续时间设为tA、将等离子B:2562的持续时间设为tB、将等离子C:2563的持续时间设为tC时,在tA、tB、tC之间,需要以下那样的关系成立。
tA=1~3sec且tA≤tB≤tC
图9表示对在表面形成有使用图1所示的等离子处理装置1基于图2到图5所示的本实施例的处理流程以及时序图形成的膜的图案进行蚀刻处理的情况的各工序中的图案的截面形状。
图9的(a)表征本实施例的多层膜形成前的图案的截面,相当于图3中说明的图案截面形状的(a),具有在硅(Si)层901(相当于图3的硅(Si)层300)上形成氧化硅膜图案902(相当于图3的氧化硅膜图案301)的结构。
图9的(b)示出在氧化硅膜图案902的表面形成了多层的保护膜903(相当于图3的(f)中的保护膜306)的状态,相当于形成使用图2到图5说明的本实施例的多层膜后的图案的截面、即图3中说明的图案截面形状的(f)。
图9的(c)表示对形成使用图2到图5说明的本实施例的多层膜后的图案进行蚀刻处理从而形成到达硅(Si)层901的槽图案(或孔图案)910的状态。在此,氧化硅膜图案902的蚀刻条件使用了上述的CF4/CHF3混合气体,硅(Si)层901的蚀刻条件使用了氯气体。箭头904表示在使用基板偏压进行各向异性蚀刻时通过蚀刻处理来加工氧化硅膜图案902和硅(Si)层901时加工较快地进展的方向。
图9的(b)的多层膜的形成和图9的(c)的蚀刻处理能使用相同的等离子处理装置进行。
图10表示在图9的(c)的工序中改变等离子C的时间以及压力来进行实际的蚀刻处理的情况下的各蚀刻条件与所形成的图案的截面形状1001的关系以及评价底切1002的有无的结果的关系。
1010表示对As蚀刻的状态、即未形成本实施例中说明的保护膜(图3的(f)的保护膜306或图9的保护膜903)的状态的图案进行蚀刻来形成槽图案1014的情况的硅(Si)层1011和氧化硅膜图案1012的截面形状。根据该图,在未形成本实施例中说明的保护膜的情况下,在形成了槽图案1014的部分处的硅(Si)层1011与氧化硅膜图案1012(间隔物)的界面附近形成凹部1013,成为有底切1002的状态。
此外,1020表示将等离子C:2563的持续时间tC设为10秒、将真空容器100的内部的压力1Pa来进行蚀刻处理从而形成槽图案1024的情况。在该情况下,也与1010的情况同样,在形成了槽图案1024的部分处的硅(Si)层1021与氧化硅膜图案1022(间隔物)的界面附近,形成与1010的情况同样的凹部1023,成为有底切1002的状态。
与此相对,如1030所示那样,在将等离子C:2563的持续时间tC设为60sec、将真空容器100的内部的压力设为1Pa来进行蚀刻处理从而形成槽图案1034的情况下,在形成槽图案1034的部分处的硅(Si)层1031与氧化硅膜图案1032(间隔物)的界面附近1033未形成凹部,成为没有底切1002的状态。
进而,如1040所示那样,在将等离子C:2563的持续时间tC设为10sec、将真空容器100的内部的压力设为6Pa来进行蚀刻处理从而形成槽图案1044的情况下,也与1030的情况同样,在形成槽图案1044的部分处的硅(Si)层1041与氧化硅膜图案1042(间隔物)的界面附近1043未形成凹部,成为没有底切1002的状态。
如此地,根据1030和1040的结果,通过以在等离子C:2563的持续时间tC与真空容器100的内部的压力(pC)之间tC*pC≥60(sec·Pa)的关系成立的条件进行蚀刻处理,能在没有底切1002的状态下进行蚀刻处理。
从该结果可知,通过使用由用图2到图5说明的本实施例形成的多层膜,能以氧化硅膜图案为掩模,不在硅(Si)层产生底切地蚀刻加工槽或孔图案。
此外,根据本实施例,通过在对被处理基板进行等离子蚀刻处理的蚀刻处理装置的内部形成用于形成于该被处理基板的掩模用的图案的侧壁保护的膜,能在相同的装置连续实施用于侧壁保护的膜的形成工艺和蚀刻处理工艺。
另外,使用作为现有专利文献的JP特开2019-176184号公报中公开那样的构造的等离子处理装置,在相同真空容器内改变ECR等离子面的同时控制等离子A、B、C的离子量和自由基量,通过在等离子A中成为离子量>自由基量,在等离子B以及C中成为离子量<自由基量,反之,通过在等离子A中成为离子量<自由基量,在等离子B以及C中成为离子量>自由基量,还能进行基底损害的改善、覆盖范围改善。
根据本实施例,能以所期望的成膜速度以及蚀刻速率(耐蚀刻性能)形成覆盖范围良好的图案。
此外,根据本实施例,通过作为成膜气体而使用室温下的反应性低的卤素硅烷,能预期以下改善:该改善能减少例如由于残留于气体配管内的吸附气体与大气中的氧反应而产生的异物的产生量。
此外,根据本实施例,通过使等离子在3阶段产生来进行处理,在第1阶段的等离子A中,能使成膜速度增加到50~100倍以上,在第2阶段的等离子B中,能使耐蚀刻性能改善,在第3阶段等离子C中,能得到耐蚀刻性能的改善以及真实图案形状的改善(底切减少)等效果。
以上,基于实施例说明了由本发明者做出的发明,但本发明并不限定于所述实施例,能在不脱离其要旨的范围内进行种种变更,这点不言自明。例如,上述的实施例为了易于理解地说明本发明而详细进行了说明,但不一定非要限定于具备说明的全部结构。此外,能对各实施例的结构的一部分进行其他结构的追加、删除、置换。
附图标记的说明
1:等离子处理装置
100:真空容器
101:被处理基板
102:簇射板
103:样品载置台
104:等离子产生用电源
105:质量流量控制器
106:气体管线
107:排气管
108:压力控制阀
109:泵
111:加热器。

Claims (11)

1.一种成膜方法,其特征在于,具有:
第1工序,在对真空处理室供给气体的同时生成等离子,通过所生成的所述等离子在被处理基板的表面形成膜;
第2工序,在所述第1工序后,通过等离子除去卤素元素;以及
第3工序,在所述第2工序后,通过等离子使所述膜氧化或氮化。
2.一种成膜方法,其特征在于,具有:
第1工序,在将气体对真空处理室供给给定时间后,生成等离子,通过所生成的所述等离子在被处理基板的表面形成膜;
第2工序,在所述第1工序后,通过等离子除去卤素元素;以及
第3工序,在所述第2工序后,通过等离子使所述膜氧化或氮化。
3.根据权利要求1或2所述的成膜方法,其特征在于,
所述气体是含有硅元素以及卤素元素的气体。
4.根据权利要求1或2所述的成膜方法,其特征在于,
多次重复所述第1工序到所述第3工序。
5.根据权利要求1或2所述的成膜方法,其特征在于,
所述第1工序的等离子处理中的时间是所述第2工序的等离子处理中的时间以下的时间,
所述第2工序的等离子处理中的时间是所述第3工序的等离子处理中的时间以下的时间。
6.根据权利要求5所述的成膜方法,其特征在于,
所述第1工序的等离子处理时间是1~3秒的范围内的时间。
7.根据权利要求1或2所述的成膜方法,其特征在于,
所述第1工序的等离子处理中的压力以及所述第2工序的等离子处理中的压力是所述第3工序的等离子处理中的压力以下的压力。
8.根据权利要求1或2所述的成膜方法,其特征在于,
所述气体是四氯化硅气体。
9.根据权利要求8所述的成膜方法,其特征在于,
所述第2工序中所用的等离子使用含有氢元素的气体来生成。
10.一种等离子处理方法,其特征在于,具有:
第1工序,在对真空处理室供给气体的同时生成等离子,通过所生成的所述等离子在被处理基板的表面形成膜;
第2工序,在所述第1工序后,通过等离子除去卤素元素;
第3工序,在所述第2工序后,通过等离子使所述膜氧化或氮化;以及
第4工序,在所述第3工序后,对被处理膜进行等离子蚀刻。
11.一种等离子处理方法,其特征在于,具有:
第1工序,在将气体对真空处理室供给给定时间后,生成等离子,通过所生成的所述等离子在被处理基板的表面形成膜;
第2工序,在所述第1工序后,通过等离子除去卤素元素;
第3工序,在所述第2工序后,通过等离子使所述膜氧化或氮化;以及
第4工序,在所述第3工序后,对被处理膜进行等离子蚀刻。
CN202180009204.XA 2021-12-17 2021-12-17 成膜方法以及等离子处理方法 Pending CN116615796A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2021/046802 WO2023112320A1 (ja) 2021-12-17 2021-12-17 成膜方法およびプラズマ処理方法

Publications (1)

Publication Number Publication Date
CN116615796A true CN116615796A (zh) 2023-08-18

Family

ID=86773980

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180009204.XA Pending CN116615796A (zh) 2021-12-17 2021-12-17 成膜方法以及等离子处理方法

Country Status (5)

Country Link
JP (1) JPWO2023112320A1 (zh)
KR (1) KR20230093179A (zh)
CN (1) CN116615796A (zh)
TW (1) TW202326861A (zh)
WO (1) WO2023112320A1 (zh)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8034179B2 (en) * 2008-02-08 2011-10-11 Tokyo Electron Limited Method for insulating film formation, storage medium from which information is readable with computer, and processing system
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
JP6559430B2 (ja) * 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
JP7178918B2 (ja) * 2019-01-30 2022-11-28 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び処理システム
JP2021080536A (ja) * 2019-11-21 2021-05-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Also Published As

Publication number Publication date
WO2023112320A1 (ja) 2023-06-22
TW202326861A (zh) 2023-07-01
JPWO2023112320A1 (zh) 2023-06-22
KR20230093179A (ko) 2023-06-27

Similar Documents

Publication Publication Date Title
KR102626263B1 (ko) 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102627584B1 (ko) 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
US11637011B2 (en) Method of topology-selective film formation of silicon oxide
TWI756350B (zh) 藉由熱ald及peald沉積氧化物膜之方法
KR102669793B1 (ko) 원자층 식각을 포함하는 연속 공정
KR101514867B1 (ko) 성막 방법 및 성막 장치
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
US9163309B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR100684910B1 (ko) 플라즈마 처리 장치 및 그의 클리닝 방법
KR102550244B1 (ko) 유전체 재료의 식각을 위한 사전 세척
TWI714813B (zh) 成膜處理方法及成膜處理裝置
TWI721271B (zh) 矽氮化膜之成膜方法及成膜裝置
US20150167163A1 (en) Method of forming a pattern and substrate processing system
CN105938796B (zh) 基板处理装置以及基板处理方法
KR101930577B1 (ko) 퇴적물 제거 방법
KR102364193B1 (ko) 처리 방법 및 처리 장치
WO2003056617A1 (fr) Procede de gravure et dispositif de gravure au plasma
KR102004046B1 (ko) 산화티타늄 막의 성막 방법 및 하드 마스크의 형성 방법
KR20200086631A (ko) 질화막의 성막 방법, 및 질화막의 성막 장치
CN116615796A (zh) 成膜方法以及等离子处理方法
JP2024086975A (ja) 成膜方法およびプラズマ処理方法
TW201900922A (zh) 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
KR101576639B1 (ko) 절연막 증착 방법
EP4117023A1 (en) Method of processing substrate, substrate processing apparatus, and recording medium
US20220199415A1 (en) Substrate processing method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination