CN115917711A - 基板处理方法以及基板处理装置 - Google Patents

基板处理方法以及基板处理装置 Download PDF

Info

Publication number
CN115917711A
CN115917711A CN202180052466.4A CN202180052466A CN115917711A CN 115917711 A CN115917711 A CN 115917711A CN 202180052466 A CN202180052466 A CN 202180052466A CN 115917711 A CN115917711 A CN 115917711A
Authority
CN
China
Prior art keywords
gas
substrate
substrate processing
processing method
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180052466.4A
Other languages
English (en)
Inventor
高桥基
须田隆太郎
户村幕树
大类贵俊
木原嘉英
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN115917711A publication Critical patent/CN115917711A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一个示例性实施方式提供一种基板处理方法。基板处理方法包含以下工序:在腔室内准备具有含硅膜的基板的工序;和将包含选自C4H2F6气体、C4H2F8气体、C3H2F4气体及C3H2F6气体中的至少1种气体、HF气体以及卤化磷气体的处理气体导入至腔室内生成等离子体,对基板的含硅膜进行蚀刻的工序。

Description

基板处理方法以及基板处理装置
技术领域
本公开的示例性实施方式涉及基板处理方法以及基板处理装置。
背景技术
例如专利文献1中公开了对氧化硅膜进行蚀刻的技术。
现有技术文献
专利文献
专利文献1:日本特开2016-122774号公报
发明内容
发明要解决的技术问题
本公开提供使蚀刻速率提高的技术。
用于解决技术问题的手段
本公开的一个示例性实施方式提供一种基板处理方法,其包含以下工序:
在腔室内准备具有含硅膜的基板的工序;和
将包含选自C4H2F6气体、C4H2F8气体、C3H2F4气体及C3H2F6气体中的至少1种气体、HF气体以及卤化磷气体的处理气体导入至上述腔室内生成等离子体,对上述基板的含硅膜进行蚀刻的工序。
发明效果
根据本公开的一个示例性实施方式,可以提供使蚀刻速率提高的技术。
附图说明
图1为示意地表示基板处理装置1的图。
图2为表示高频电HF以及电偏压之一例的时序图。
图3为示意地表示基板处理系统PS的图。
图4为表示基板W的截面结构之一例的图。
图5为表示本处理方法的流程图。
图6为表示蚀刻后的掩模膜MK的形状之一例的图。
图7为表示步骤ST22中的基板W的截面结构之一例的图。
图8为表示实验1的测定结果的图。
图9为表示实验2的测定结果的图。
图10为表示实验2的测定结果的图。
图11为表示实验3的测定结果的图。
图12为表示实验3的测定结果的图。
图13为用于说明凹部RC的截面形状的评价方法之一例的图。
图14为表示实验4的测定结果的图。
图15为表示实验4的测定结果的图。
具体实施方式
以下,对本公开的各实施方式进行说明。
一个示例性实施方式提供一种基板处理方法。基板处理方法包含以下工序:在腔室内准备具有含硅膜的基板的工序;和将包含选自C4H2F6气体、C4H2F8气体、C3H2F4气体及C3H2F6气体中的至少1种气体、HF气体以及卤化磷气体的处理气体导入至腔室内生成等离子体,对基板的含硅膜进行蚀刻的工序。
一个示例性实施方式中,卤化磷气体包含选自PF3气体、PF5气体、POF3气体、HPF6气体、PCl3气体、PCl5气体、POCl3气体、PBr3气体、PBr5气体、POBr3气体或PI3气体中的至少1种。
一个示例性实施方式中,处理气体进一步包含选自含卤素气体、含碳气体、含氧气体以及含氮气体中的至少1种。
一个示例性实施方式中,含卤素气体为选自含氯气体、含溴气体以及含碘气体中的至少1种。
一个示例性实施方式中,含卤素气体为选自Cl2、SiCl2、SiCl4、CCl4、SiH2Cl2、Si2Cl6、CHCl3、SO2Cl2、BCl3、PCl3、PCl5、POCl3、Br2、HBr、CBr2F2、C2F5Br、PBr3、PBr5、POBr3、BBr3、HI、CF3I、C2F5I、C3F7I、IF5、IF7、I2以及PI3中的至少1种气体。
一个示例性实施方式中,含碳气体为选自CaHb(a以及b为1以上的整数)气体、CcFd(c以及d为1以上的整数)气体以及CHeFf(e以及f为1以上的整数)气体中的至少1种。
一个示例性实施方式中,含氮气体为选自NF3气体、N2气体以及NH3气体中的至少1种。
一个示例性实施方式中,处理气体进一步包含含氧气体,含氧气体为选自O2气体、CO气体、CO2气体、H2O气体以及H2O2气体中的至少1种。
一个示例性实施方式中,处理气体进一步包含选自含硼气体以及含硫气体中的至少1种。
一个示例性实施方式中,处理气体进一步包含不活泼性气体。
一个示例性实施方式中,含硅膜包含选自氧化硅膜、氮化硅膜以及多晶硅膜中的至少1种。
一个示例性实施方式中,基板具有在含硅膜上规定至少1个开口的由有机膜或含金属膜形成的掩模。
一个示例性实施方式中,进行蚀刻的工序包含在第一期间和与第一期间交替的第二期间向基板支撑器给予电偏压,第一期间的电偏压为0或第一电平,第二期间的电偏压为比第一电平大的第二电平。
一个示例性实施方式中,进行蚀刻的工序包含在第三期间和与第三期间交替的第四期间向基板支撑器或与基板支撑器相向的上部电极供给用于生成等离子体的高频电,上述第三期间的上述高频电的电平为0或第三电平,第四期间的高频电的电平为比第三电平大的第四电平,第二期间与第四期间至少一部分重复。
一个示例性实施方式中,电偏压为脉冲电压。
一个示例性实施方式中,进行蚀刻的工序包含将直流电压或低频电供给至与基板支撑器相向的上部电极。
一个示例性实施方式中,进行蚀刻的工序包含以下工序:使腔室内为第一压力,向基板支撑器供给第一电偏压,对含硅膜进行蚀刻的第一工序;和使腔室内为第二压力,向基板支撑器供给第二电偏压,对含硅膜进行蚀刻的第二工序,其中,第一压力与第二压力不同及/或第一电偏压与第二电偏压不同。
一个示例性实施方式中,第一压力比第二压力大。
一个示例性实施方式中,第一电偏压的大小的绝对值比第二电偏压的大小的绝对值大。
一个示例性实施方式中,将第一工序和第二工序交替反复进行。
一个示例性实施方式中,提供一种基板处理方法。基板处理方法包含以下工序:在腔室内准备具有含硅膜的基板的工序;和将包含CxHyFz(x为2以上的整数、y以及z为1以上的整数)气体、含氟气体、以及含磷气体的处理气体导入至腔室内生成等离子体,对基板的含硅膜进行蚀刻的工序。
一个示例性实施方式中,含氟气体是能够在腔室内生成HF种的气体。
一个示例性实施方式中,CxHyFz气体具有1个以上的CF3基。
一个示例性实施方式中,CxHyFz气体包含选自C3H2F4气体、C3H2F6气体、C4H2F6气体、C4H2F8气体以及C5H2F6气体中的至少1种。
一个示例性实施方式中,含磷气体包含选自PF3气体、PF5气体、POF3气体、HPF6气体、PCl3气体、PCl5气体、POCl3气体、PBr3气体、PBr5气体、POBr3气体、PI3气体、P4O10气体、P4O8气体、P4O6气体、PH3气体、Ca3P2气体、H3PO4气体以及Na3PO4气体中的至少1种。
一个示例性实施方式中,包含以下工序:在腔室内的基板支撑器上准备具有含硅膜的基板的工序;在腔室内生成等离子体的工序;以及使用等离子体所含的HF种和CxHyFz(x为2以上的整数,y以及z为1以上的整数)种对含硅膜进行蚀刻的工序,其中,等离子体包含磷的活性种,并且HF种的量最多。
一个示例性实施方式中,提供一种基板处理装置。基板处理装置具备:腔室;设置于腔室内的基板支撑器;供给用于在腔室内生成等离子体的电力的等离子体生成部;以及控制部,控制部执行以下的控制:为了对支撑在基板支撑器上的基板的含硅膜进行蚀刻,将包含选自C4H2F6气体、C4H2F8气体、C3H2F4气体以及C3H2F6气体中的至少1种气体、HF气体、以及卤化磷气体的处理气体导入至腔室内,利用由等离子体生成部供给的电力,生成等离子体。
以下,参照附图详细地说明本公开的各实施方式。此外,各附图中,同一或同样的要素带有同一符号,将重复的说明省略。只要没有特别限定,则根据附图所示的位置关系说明上下左右等位置关系。附图的尺寸比率并不表示实际的比率,而且实际的比率不限于图示的比率。
<基板处理装置1的构成>
图1为示意地表示一个示例性实施方式的基板处理装置1的图。一个示例性实施方式的基板处理方法(以下称作“本处理方法”)可以使用基板处理装置1执行。
图1所示的基板处理装置1具备腔室10。腔室10在其中提供内部空间10s。腔室10包含腔室主体12。腔室主体12具有大致圆筒形状。腔室主体12例如由铝形成。腔室主体12的内壁面上设有具有耐腐蚀性的膜。具有耐腐蚀性的膜可以由氧化铝、氧化钇等陶瓷形成。
腔室主体12的侧壁上形成有通路12p。基板W通过通路12p在内部空间10s与腔室10的外部之间被搬运。通路12p通过闸阀12g进行开关。闸阀12g沿着腔室主体12的侧壁设置。
在腔室主体12的底部上设有支撑部13。支撑部13由绝缘材料形成。支撑部13具有大致圆筒形状。支撑部13在内部空间10s中从腔室主体12的底部向上方延展。支撑部13对基板支撑器14进行支撑。基板支撑器14按照在内部空间10s中对基板W进行支撑的方式构成。
基板支撑器14具有下部电极18及静电夹盘20。基板支撑器14可进一步具有电极板16。电极板16由铝等导体形成,具有大致圆盘形状。下部电极18设置在电极板16上。下部电极18由铝等导体形成,具有大致圆盘形状。下部电极18电连接于电极板16。
静电夹盘20设置在下部电极18上。将基板W载置于静电夹盘20的上表面上。静电夹盘20具有主体以及电极。静电夹盘20的主体具有大致圆盘形状,由电介质形成。静电夹盘20的电极是膜状的电极,设置在静电夹盘20的主体内。静电夹盘20的电极介由开关20s连接于直流电源20p。当对静电夹盘20的电极施加来自直流电源20p的电压时,在静电夹盘20与基板W之间产生静电引力。基板W通过该静电引力被吸引至静电夹盘20,被静电夹盘20保持。
在基板支撑器14上配置边界环25。边界环25是环状的构件。边界环25可以由硅、碳化硅、或石英等形成。基板W配置于静电夹盘20上且被边界环25包围的区域内。
在下部电极18的内部设有流路18f。将热交换介质(例如冷媒)从设置于腔室10外部的制冷单元介由配管22a供给至流路18f。供给至流路18f的热交换介质介由配管22b返回至制冷单元。基板处理装置1中,载置于静电夹盘20上的基板W的温度通过热交换介质与下部电极18的热交换进行调整。
基板处理装置1中设置有气体供给线路24。气体供给线路24将来自传热气体供给机构的传热气体(例如He气体)供给至静电夹盘20的上表面与基板W的背面之间的空隙。
基板处理装置1进一步具备上部电极30。上部电极30设置在基板支撑器14的上方。上部电极30介由构件32被支撑在腔室主体12的上部。构件32由具有绝缘性的9材料形成。上部电极30与构件32将腔室主体12的上部开口封闭。
上部电极30可以包含顶板34以及支撑体36。顶板34的下表面是内部空间10s一侧的下表面,划出内部空间10s。顶板34可以由产生的焦耳热少的低电阻导电体或半导体形成。顶板34具有在其板厚方向上贯穿顶板34的多个气体喷吐孔34a。
支撑体36装卸自由地对顶板34进行支撑。支撑体36由铝等导电性材料形成。在支撑体36的内部设有气体扩散室36a。支撑体36具有从气体扩散室36a向下方延伸的多个气孔36b。多个气孔36b分别连通于多个气体喷吐孔34a。支撑体36上形成有气体导入口36c。气体导入口36c连接于气体扩散室36a。气体导入口36c上连接有气体供给管38。
气体供给管38上介由流量控制器组41以及阀门组42连接有气源组40。流量控制器组41以及阀门组42构成气体供给部。气体供给部可以进一步包含气源组40。气源组40包含多个气源。多个气源包含在本处理方法中使用的处理气体的气源。流量控制器组41包含多个流量控制器。流量控制器组41的多个流量控制器分别是质量流量控制器或压力控制式的流量控制器。阀门组42包含多个开关阀门。气源组40的多个气源分别介由流量控制器组41的对应的流量控制器以及阀门组42的对应的开关阀门连接于气体供给管38。
在基板处理装置1中,沿着腔室主体12的内壁面以及支撑部13的外周装卸自由地设置有护罩46。护罩46防止反应副产物附着在腔室主体12上。护罩46例如通过在由铝所形成的母料的表面上形成具有耐腐蚀性的膜来构成。具有耐腐蚀性的膜可以由氧化钇等陶瓷形成。
在支撑部13与腔室主体12的侧壁之间设有挡板48。挡板48例如通过在由铝所形成的构件的表面上形成具有耐腐蚀性的膜(氧化钇等膜)来构成。挡板48上形成有多个贯穿孔。在挡板48的下方且腔室主体12的底部设有排气口12e。排气口12e上介由排气管52连接有排气装置50。排气装置50包含压力调整阀及涡轮分子泵等真空泵。
基板处理装置1具备高频电源62以及偏压电源64。高频电源62是产生高频电HF的电源。高频电HF具有适于等离子体生成的第一频率。第一频率例如是27MHz~100MHz范围内的频率。高频电源62介由整合器66以及电极板16连接于下部电极18。整合器66具有用于将高频电源62的负荷侧(下部电极18侧)的阻抗整合至高频电源62的输出阻抗的电路。此外,高频电源62还可以介由整合器66连接于上部电极30。高频电源62构成一例的等离子体生成部。
偏压电源64是产生电偏压的电源。偏压电源64电连接于下部电极18。电偏压具有第二频率。第二频率比第一频率低。第二频率例如是400kHz~13.56MHz范围内的频率。电偏压在与高频电HF一起使用时,为了将离子吸引至基板W而被给予至基板支撑器14。一个例子中,将电偏压给予至下部电极18。当将电偏压给予至下部电极18时,载置于基板支撑器14上的基板W的电位在由第二频率规定的周期内进行变动。此外,电偏压也可以给予至设置于静电夹盘20内的偏压电极。
一个实施方式中,电偏压可以是具有第二频率的高频电LF。高频电LF在与高频电HF一起使用时,作为用于将离子吸引至基板W的高频偏压电使用。按照产生高频电LF的方式构成的偏压电源64介由整合器68以及电极板16连接于下部电极18。整合器68具有用于将偏压电源64的负荷侧(下部电极18侧)的阻抗整合至偏压电源64的输出阻抗的电路。
此外,也可以是不使用高频电HF,而是使用高频电LF,即仅使用单一的高频电来生成等离子体。此时,高频电LF的频率可以是比13.56MHz还大的频率,例如40MHz。另外,此时,基板处理装置1也可不具备高频电源62以及整合器66。此时,偏压电源64构成一例的等离子体生成部。
在另一个实施方式中,电偏压还可以是脉冲状的电压(脉冲电压)。此时,偏压电源可以是直流电源。偏压电源可以按照电源自身供给脉冲电压的方式构成,也可以是按照在偏压电源的下游侧具备将电压脉冲化的设备的方式来构成。一个例子中,脉冲电压按照在基板W产生负电位的方式被给予至下部电极18。脉冲电压可以是矩形波,也可以是三角波,还可以是冲击波,或者可以具有其它波形。
脉冲电压的周期由第二频率规定。脉冲电压的周期包含两个期间。两个期间中的一个期间的脉冲电压为负极性的电压。两个期间中的一个期间的电压电平(即绝对值)比两个期间中的另一个期间的电压电平(即绝对值)高。另一个期间的电压可以是负极性、正极性的任一种。另一个期间的负极性的电压电平可以比0大,也可以是0。该实施方式中,偏压电源64介由低通滤波器以及电极板16连接于下部电极18。此外,偏压电源64也可以代替下部电极18而连接于设置在静电夹盘20内的偏压电极。
一个实施方式中,偏压电源64可以将电偏压的连续波给予至下部电极18。即,偏压电源64可以将电偏压连续地给予至下部电极18。
另一个实施方式中,偏压电源64可以将电偏压的脉冲波给予至下部电极18。电偏压的脉冲波可以周期性地给予至下部电极18。电偏压的脉冲波的周期由第三频率规定。第三频率比第二频率还低。第三频率例如为1Hz以上且200kHz以下。另一个例子中,第三频率可以为5Hz以上且100kHz以下。
电偏压的脉冲波的周期包含两个期间,即H期间以及L期间。H期间的电偏压的电平(即,电偏压的脉冲的电平)比L期间的电偏压的电平还高。即,通过增减电偏压的电平,可以将电偏压的脉冲波给予至下部电极18。L期间的电偏压的电平可以比0大。或者,L期间的电偏压的电平可以是0。即,电偏压的脉冲波可以通过交替切换电偏压向下部电极18的供给和停止供给来给予至下部电极18。这里,电偏压为高频电LF时,电偏压的电平是高频电LF的功率电平。电偏压为高频电LF时,电偏压的脉冲下的高频电LF的电平可以是2kW以上。电偏压为负极性直流电压的脉冲波时,电偏压的电平是负极性直流电压的绝缘值的实效值。电偏压的脉冲波的占空比、即在电偏压的脉冲波的周期中H期间所占的比例例如为1%以上且80%以下。另一个例子中,电偏压的脉冲波的占空比可以为5%以上且50%以下。或者,电偏压的脉冲波的占空比还可以为50%以上且99%以下。此外,在供给电偏压的期间中,L期间相当于上述第一期间,H期间相当于上述第二期间。另外,L期间的电偏压的电平相当于上述0或第一电平,H期间的电偏压的电平相当于上述第二电平。
一个实施方式中,高频电源62还可以供给高频电HF的连续波。即,高频电源62可以连续地供给高频电HF。
另一个实施方式中,高频电源62还可以供给高频电HF的脉冲波。高频电HF的脉冲波可以周期性地供给。高频电HF的脉冲波的周期由第四频率规定。第四频率比第二频率还低。一个实施方式中,第四频率与第三频率相同。高频电HF的脉冲波的周期包含两个期间,即H期间以及L期间。H期间的高频电HF的功率电平比两个期间中的L期间的高频电HF的功率电平还高。L期间的高频电HF的功率电平可以大于0,也可以是0。此外,在供给高频电HF的期间中,L期间相当于上述第三期间,H期间相当于上述第四期间。另外,L期间的高频电HF的电平相当于上述0或第三电平,H期间的电偏压的电平相当于上述第四电平。
此外,高频电HF的脉冲波周期可以与电偏压的脉冲波周期是同步的。高频电HF的脉冲波周期中的H期间可以与电偏压的脉冲波周期中的H期间是同步的。或者,高频电HF的脉冲波周期中的H期间也可以与电偏压的脉冲波周期中的H期间不是同步的。高频电HF的脉冲波周期中的H期间的时间长可以与电偏压的脉冲波周期中的H期间的时间长相同,也可以不同。高频电HF的脉冲波的周期中的H期间的一部分或全部还可以与电偏压的脉冲波的周期中的H期间重复。
图2为表示高频电HF以及电偏压之一例的时序图。图2为作为高频电HF以及电偏压均使用脉冲波的例子。图2中,横轴表示时间。图2中,纵轴表示高频电HF以及电偏压的功率电平。高频电HF的“L1”表示未供给高频电HF,或者低于“H1”所示的功率电平。电偏压的“L2”表示未供给电偏压,或者低于“H2”所示的功率电平。电偏压为负极性的直流电压的脉冲波时,电偏压的电平是负极性的直流电压的绝对值的实效值。此外,图2的高频电HF以及电偏压的功率电平的大小并不表示两者的相对关系,可以任意地设定。图2是高频电HF的脉冲波的周期与电偏压的脉冲波的周期同步、且高频电HF的脉冲波的H期间以及L期间的时间长与电偏压的脉冲波的H期间以及L期间的时间长相同的例子。
返回图1继续说明。基板处理装置1进一步具备电源70。电源70连接于上部电极30。一个例子中,电源70可以按照在等离子体处理中向上部电极30供给直流电压或低频电的方式来构成。例如,电源70可以将负极性的直流电压供给至上部电极30,也可以周期性地供给低频电。直流电压或低频电可作为脉冲波供给,也可作为连续波供给。该实施方式中,存在于等离子体处理空间10s内的正离子被吸引并冲撞于上部电极30。由此,从上部电极30中释放二次电子。释放的二次电子将掩模膜MK改性,提高掩模膜MK的耐蚀刻性。另外,二次电子有助于等离子体密度的提高。另外,通过二次电子的照射,由于可中和基板W的带电状态,因此可提高离子向利用蚀刻所形成的凹部内的直进性。进而,当上部电极30由含硅材料构成时,由于正离子的冲撞,随二次电子一起释放硅。被释放的硅与等离子体中的氧键合,作为氧化硅化合物堆积在掩模上,作为保护膜发挥功能。由以上,通过向上部电极30供给直流电压或低频电,可获得不仅改善选择比、而且还抑制利用蚀刻所形成的凹部中的形状异常、改善蚀刻速率等效果。
基板处理装置1中进行等离子体处理时,将气体从气体供给部供给至内部空间10s。另外,通过供给高频电HF及/或电偏压,在上部电极30与下部电极18之间生成高频电场。所生成的高频电场由内部空间10s中的气体生成等离子体。
基板处理装置1可进一步具备控制部80。控制部80可以是具备处理器、存储器等存储部、输入装置、显示装置、信号的输入输出接口等的计算机。控制部80对基板处理装置1的各部分进行控制。根据控制部80,操作员可以使用输入装置进行指令的输入操作等以管理基板处理装置1。另外,控制部80可以通过显示装置将基板处理装置1的运转状况可视化地进行显示。进而,存储部中储存有控制程序以及配方数据。控制程序为了在基板处理装置1中执行各种处理,通过处理器执行。处理器执行控制程序,根据配方数据控制基板处理装置1的各部分。一个示例性实施方式中,将控制部80的一部分或全部作为基板处理装置1的外部装置构成的一部分进行设置。
<基板处理系统PS的构成>
图3为示意地表示一个示例性实施方式的基板处理系统PS的图。本处理方法还可以使用基板处理系统PS执行。
基板处理系统PS具有基板处理室PM1~PM6(以下也统称为“基板处理模块PM”)、搬运模块TM、真空锁模块LLM1及LLM2(以下也统称为“真空锁模块LLM”)、装载模块LM、以及装载端口LP1~LP3(以下也统称为“装载端口LP”)。控制部CT控制基板处理系统PS的各构成,对基板W执行规定的处理。
基板处理模块PM在其内部对基板W执行蚀刻处理、修剪处理、成膜处理、退火处理、掺杂处理、光刻处理、清洗处理、灰化处理等处理。基板处理模块PM的一部分可以是测定模块,可以测定形成于基板W上的膜的膜厚或形成于基板W上的图案的尺寸等。图1所示的基板处理装置1为基板处理模块PM的一个例子。
搬运模块TM具有搬运基板W的搬运装置,在基板处理模块PM之间或基板处理模块PM与真空锁模块LLM之间搬运基板W。基板处理模块PM以及真空锁模块LLM相邻于搬运模块TM进行配置。搬运模块TM与基板处理模块PM以及真空锁模块LLM通过能够开关的闸阀在空间上隔离或连接。
真空锁模块LLM1以及LLM2设置在搬运模块TM与装载模块LM之间。真空锁模块LLM可以将其内部的压力切换成大气压或真空。真空锁模块LLM将基板从为大气压的装载模块LM搬运至为真空的搬运模块TM,并从为真空的搬运模块TM搬运至为大气压的装载模块LM。
装载模块LM具有搬运基板W的搬运装置,在真空锁模块LLM与装载端口LP之间搬运基板W。在装载端口LP内的内部,例如可以载置能够收纳25张基板W的FOUP(Front OpeningUnified Pod,前开式统集盒)或空的FOUP。装载模块LM将基板W从装载端口LP内的FOUP中取出,搬运至真空锁模块LLM。另外,装载模块LM将基板W从真空锁模块LLM中取出,搬运至装载端口LP内的FOUP。
控制部CT控制基板处理系统PS的各构成,对基板W执行规定的处理。控制部CT储存有设定了程序的顺序、程序的条件、搬运条件等的配方,按照根据所述配方对基板W执行规定处理的方式来控制基板处理系统PS的各构成。控制部CT也可以兼具图1所示基板处理装置1的控制部80的一部分或全部功能。
<基板W的一个例子>
图4为表示基板W的截面结构之一例的图。基板W是可以应用本处理方法的基板的一例。基板W具有含硅膜SF。基板W还可以具有基底膜UF以及掩模膜MK。如图4所示,基板W可以是依次层叠基底膜UF、含硅膜SF以及掩模膜MK来形成。
基底膜UF可以是硅晶片或形成于硅晶片上的有机膜、电介质膜、金属膜、半导体膜等。基底膜UF可以是层叠多个膜而构成。
含硅膜SF可以是氧化硅膜、氮化硅膜、氧氮化硅膜(SiON膜)、Si-ARC膜。含硅膜SF可以包含多晶硅膜。含硅膜SF可以是层叠多个膜而构成。例如,含硅膜SF可以是将氧化硅膜与多晶硅膜交替地层叠而构成。一个例子中,含硅膜SF是交替层叠有氧化硅膜和氮化硅膜的层叠膜。
基底膜UF及/或含硅膜SF可以利用CVD法、旋涂法等形成。基底膜UF及/或含硅膜SF可以是平坦的膜,还可以是具有凹凸的膜。
掩模膜MK形成在含硅膜SF上。掩模膜MK在含硅膜SF上规定至少1个开口OP。开口OP是含硅膜SF上的空间且被掩模膜MK的侧壁S1包围。即,在图4中,含硅膜SF具有被掩模膜MK覆盖的区域和在开口OP的底部露出的区域。
开口OP在基板W的俯视观察(在图4的自上而下的方向上观察基板W时)下,可以具有任意的形状。所述形状例如可以是孔形状、线形状、孔形状与线形状的组合。掩模膜MK具有多个侧壁S1,多个侧壁S1可以规定多个开口OP。多个开口OP可以分别具有线形状、以一定的间隔排列而构成线条图案。另外,多个开口OP还可以分别具有孔形状而构成阵列图案。
掩模膜MK例如是有机膜或含金属膜。有机膜例如可以是旋涂碳膜(SOC)、无定形碳膜、光致抗蚀剂膜。含金属膜例如可以包含钨、碳化钨、氮化钛。掩模膜MK可以利用CVD法、旋涂法等形成。开口OP可以通过对掩模膜MK进行蚀刻来形成。掩模膜MK还可以通过光刻来形成。
<本处理方法的一例>
图5是表示本处理方法的流程图。本处理方法包含准备基板的工序(步骤ST1)和蚀刻工序(步骤ST2)。以下中,以图1所示的控制部80控制基板处理装置1的各部分、对图4所示基板W执行本处理方法的情况为例进行说明。
(步骤ST1:基板的准备)
步骤ST1中,在腔室10的内部空间10s内准备基板W。在内部空间10s内,将基板W配置在基板支撑器14的上表面,用静电夹盘20保持。形成基板W的各构成的工艺的至少一部分可以在内部空间10s内进行。另外,还可以在基板处理装置1的外部装置或腔室中形成基板W的各构成的全部或一部分之后,将基板W搬入到内部空间10s内,配置于基板处理装置14的上表面上。
(步骤ST2:蚀刻工序)
步骤ST2中,执行基板W的含硅膜SF的蚀刻。步骤ST2包含供给处理气体的工序(步骤ST21)和生成等离子体的工序(步骤ST22)。利用由处理气体生成的等离子体的活性种(离子、自由基等)将含硅膜SF蚀刻。
步骤ST21中,将处理气体从气体供给部供给至内部空间10s内。处理气体作为反应气体包含含氟气体、CxHyFz(与上述含氟气体不同的气体,x为2以上的整数,y以及z为1以上的整数)气体(以下也将该气体称作“CxHyFz气体”)以及含磷气体。此外,本实施方式中,只要无特别的记载,则反应气体不包含Ar等稀有气体。
CxHyFz气体例如可以使用选自C2HF5气体、C2H2F4气体、C2H3F3气体、C2H4F2气体、C3HF7气体、C3H2F2气体、C3H2F4气体、C3H2F6气体、C3H3F5气体、C4H2F6气体、C4H5F5气体、C4H2F8气体、C5H2F6气体、C5H2F10气体以及C5H3F7气体中的至少1种。一个例子中,作为CxHyFz气体,使用选自C3H2F4气体、C3H2F6气体、C4H2F6气体以及C4H2F8气体中的至少1种。另一个例子中,作为CxHyFz气体,使用选自C3H2F4气体、C3H2F6气体、C4H2F6气体、C4H2F8气体以及C5H2F6气体中的至少1种。作为CxHyFz气体例如使用C4H2F6气体时,C4H2F6可以是直链状的,也可以是环状的。
由包含CxHyFz气体的处理气体生成的等离子体中包含从CxHyFz气体解离的CxHyFz种。该CxHyFz种包含较多的含2个以上碳原子的CxHyFz自由基(例如C2H2F自由基、C2H2F2自由基、C3HF3自由基,以下称作“CxHyFz系自由基”)。CxHyFz系自由基在掩模膜MK的表面上形成保护所述表面的保护膜。所述保护膜可以在含硅膜SF的蚀刻中抑制掩模膜MK的蚀刻。因此,CxHyFz系自由基在含硅膜SF的蚀刻中可以提高含硅膜SF相对于掩模膜MK的选择比(含硅膜SF的蚀刻速率除以掩模MK的蚀刻速率所获得的值)。
另外,由包含CxHyFz气体的处理气体生成的等离子体包含较多从CxHyFz气体中解离出来及/或进一步从CxHyFz种中解离出来的HF种。HF种包含氟化氢的气体、自由基以及离子中的至少任一者。HF种作为含硅膜SF的蚀刻剂发挥功能。通过等离子体中包含较多HF种,含硅膜SF的蚀刻速率可以提高。CxHyFz气体还可以具有1个以上的CF3基。CxHyFz气体具有CF3基时,例如在CH基单键键合于CF3基时,由于其分子结构,易作为HF进行解离,可以在等离子体中增加HF种。
此外,处理气体可以代替上述CxHyFz气体的一部分或全部而包含CxFz(x为2以上的整数、z为1以上的整数)气体。具体地说,可以使用选自C2F2、C2F4、C3F8、C4F6、C4F8以及C5F8中的至少1种。由此,可以抑制等离子体中的氢的量,例如可以抑制因过剩的氢导致的形貌的恶化或者腔室10内的水分的增加等。这里,形貌是指掩模膜MK的表面状态、开口OP的真圆度等与掩模形状有关的特性。
CxHyFz气体的流量相对于反应气体的总流量可以为20体积%以下。CxHyFz气体的流量相对于反应气体的总流量例如还可以为15体积%以下、10体积%以下、5体积%以下。CxHyFz气体的流量相对于反应气体的总流量为20体积%以下时,蚀刻中碳过剩地堆积在掩模膜MK或含硅膜SF的侧壁上,可抑制掩模膜MK的开口OP闭塞。
含氟气体可以是在等离子体处理中、在腔室10内能够生成氟化氢(HF)种的气体。HF种包含氟化氢的气体、自由基以及离子中的至少任一者。一个例子中,含氟气体可以是HF气体或氢氟碳气体。另外,含氟气体还可以是包含氢源以及氟源的混合气体。氢源可以是例如H2、NH3、H2O、H2O2或烃(CH4、C3H6等)。氟源可以是NF3、SF6、WF6、XeF2、氟碳或氢氟碳。以下将这些含氟气体也称作“HF系气体”。由包含HF系气体的处理气体生成的等离子体包含较多的HF种(蚀刻剂)。HF系气体的流量可以比CxHyFz气体的流量多。HF系气体还可以是主蚀刻剂气体。HF系气体在反应气体的总流量中所占的流量比例可以是最大,例如相对于反应气体的总流量可以为70体积%以上。另外,HF系气体相对于反应气体的总流量可以为96体积%以下。
含磷气体在含硅膜SF的蚀刻中,可以在保护含硅膜SF的侧壁的同时,促进蚀刻剂在含硅膜SF的底部BT上的吸附。含磷气体可以是选自PF3气体、PF5气体、POF3气体、HPF6气体、PCl3气体、PCl5气体、POCl3气体、PBr3气体、PBr5气体、POBr3气体、PI3气体、P4O10气体、P4O8气体、P4O6气体、PH3气体、Ca3P2气体、H3PO4气体以及Na3PO4气体中的至少1种。这些气体中,还可以使用PF3气体、PF5气体、PCl3气体等含卤化磷气体,另外还可以使用PF3气体、PF5气体等氟化磷气体。
处理气体可以进一步包含选自含卤素气体、含碳气体、含氮气体以及含氧气体中的至少1种作为反应气体。一个例子中,处理气体进一步包含含氧气体作为反应气体。另一个例子中,处理气体进一步包含含氧气体和含卤素气体及/或含碳气体作为反应气体。
含卤素气体可以调整蚀刻中的掩模膜MK或者含硅膜SF的形状。含卤素气体可以是包含除氟以外的卤元素的气体。含卤素气体可以调整蚀刻中的掩模膜MK或含硅膜SF的形状。含卤素气体可以是含氯气体、含溴气体及/或含碘气体。作为含氯气体,可以使用Cl2、SiCl2、SiCl4、CCl4、、SiH2Cl2、Si2Cl6、CHCl3、SO2Cl2、BCl3、PCl3、PCl5、POCl3等气体。作为含溴气体,可以使用Br2、HBr、CBr2F2、C2F5Br、PBr3、PBr5、POBr3、BBr3等气体。作为含碘气体,可以使用HI、CF3I、C2F5I、C3F7I、IF5、IF7、I2、PI3等气体。一个例子中,作为含卤素气体,使用选自Cl2气体、Br2气体、HBr气体、CF3I气体、IF7气体以及C2F5Br中的至少1种。另一个例子中,作为含卤素气体,使用Cl2气体以及HBr气体。
含碳气体可以在蚀刻中使碳堆积在掩模膜MK的表面而保护该表面。含碳气体可以是选自CaHb(a以及b为1以上的整数)气体、CcFd(c以及d为1以上的整数)气体以及CHeFf(e以及f为1以上的整数)气体中的至少1种。CaHb气体例如可以是CH4气体或C3H6气体等。CcFd气体例如可以是CF4气体、C3F8气体、C4F6气体或C4F8气体等。CHeFf气体例如可以是CH2F2气体、CHF3气体或CH3F气体等。
含氮气体可以抑制蚀刻中的掩模膜MK的开口OP的闭塞。含氮气体例如可以是选自NF3气体、N2气体以及NH3气体中的至少1种气体。
含氧气体与含氮气体同样,可以抑制蚀刻中的掩模膜MK的开口OP的闭塞。含氧气体例如可以是选自O2、CO、CO2、H2O以及H2O2中的至少1种气体。一个例子中,处理气体包含除H2O以外的含氧气体,即包含选自O2、CO、CO2以及H2O2中的至少1种气体。含氧气体对掩模膜MK的伤害少,可以抑制形貌的恶化。
图6为表示蚀刻后的掩模膜MK的形状之一例的图。图6为在基板处理装置1中蚀刻具有与基板W相同结构的样品基板时的掩模膜MK的形状(俯视观察)之一例。图6中,“No.”表示经蚀刻的样品基板的试样编号。“处理气体”表示蚀刻中使用的处理气体,“A”表示包含HF气体、C4H2F6气体、O2气体、NF3气体、HBr气体以及Cl2气体的处理气体(以下称作“处理气体A”)。处理气体A中,相对于反应气体的总流量包含80体积%以上的HF气体,相对于反应气体的总流量包含4~5体积%的O2气体。“处理气体”的“B”表示除了不含NF3气体、其份额增加为O2气体的流量的方面之外、与处理气体A相同的处理气体(以下称作“处理气体B”)。处理气体B中,相对于反应气体的总流量包含6~7体积%的O2气体。“上部电极施加”的“有”表示在蚀刻中向基板处理装置1的上部电极30供给了负极性的直流电压,“无”表示未向上部电极30供给负极性的直流电压。由图6的“掩模形状”可知,无论是“上部电极施加”的“有”时还是“无”时,在使用包含NF3的处理气体A时(试样1以及试样3)均发生了开口OP的真圆度的恶化或者在掩模膜MK的一部分表面上产生了高度差。另一方面可知,在使用不含NF3气体而增加了O2气体的流量的处理气体B时(试样2以及试样4),开口OP的真圆度高、而且掩模膜MK的表面上未产生高度差,与使用处理气体A时(试样1以及试样3)相比,改善了掩模膜MK的形貌。
另外,在除了含磷气体之外还存在含氧气体的状态下,含硅膜SF的底部BT处的蚀刻剂的吸附被进一步促进,因此可以进一步提高含硅膜SF的蚀刻速率。
另外,处理气体还可以包含BF3、BCl3、BBr3、B2H6等含硼气体。另外,处理气体还可以包含SF6以及COS等含硫气体。
处理气体除了上述反应气体之外,还可以包含不活泼性气体(Ar等稀有气体)。
供给至内部空间10s内的处理气体的压力通过控制连接于腔室主体12的排气装置50的压力调整阀来进行调整。处理气体的压力例如可以为5mTorr(0.7Pa)以上且100mTorr(13.3Pa)以下、10mTorr(1.3Pa)以上且60mTorr(8.0Pa)以下、或者20mTorr(2.7Pa)以上且40mTorr(5.3Pa)以下。
接着,在步骤ST22中,由等离子体生成部(高频电源62及/或偏压电源64)供给高频电及/或电偏压。由此,在上部电极30与基板支撑器14之间生成高频电场,由内部空间10s内的处理气体生成等离子体。所生成的等离子体中的离子、自由基等活性种被吸引至基板W,将基板W蚀刻。
图7为表示步骤ST22中的基板W的截面结构之一例的图。在步骤ST22的执行中,掩模膜MK作为掩模发挥功能,含硅膜SF中对应于掩模膜MK的开口OP的部分在深度方向(图7中自上而下的方向)上被蚀刻,形成凹部RC。凹部RC是被含硅膜SF的侧壁S2包围的空间。在步骤ST22中形成的凹部RC的长宽比可以是20以上,还可以是30以上、40以上、50以上、或100以上。
本处理方法中,处理气体包含CxHyFz气体以及HF系气体,在等离子体中生成很多的HF种。因此,在步骤ST22的执行中,可以将HF种(蚀刻剂)充分地供给至形成于含硅膜SF的凹部RC的底部BT。另外,本处理方法中,处理气体包含含磷气体。等离子体中的磷活性种(离子、自由基)可以促进HF种(蚀刻剂)在凹部RC的底部BT上的吸附。由此,含硅膜SF的蚀刻速率可以提高。
此外,在步骤ST22中,可以将基板支撑器14的温度控制在低温。基板支撑器14的温度例如可以是20℃以下,还可以是0℃以下、-10℃以下、-20℃以下、-30℃以下或-40℃以下、-70℃以下。基板支撑器14的温度可以通过由制冷单元供给的热交换介质进行调整。HF种的吸附系数在低温下会进一步增加。因此,通过将基板支撑器14的温度控制在低温而抑制基板W的温度的上升,可促进HF种(蚀刻剂)在凹部RC的底部BT上的吸附。由此,含硅膜SF的蚀刻速率可以提高。
本处理方法中,处理气体包含CxHyFz气体。CxHyFz气体在等离子体中以高密度生成CxHyFz系自由基。如图7所示,CxHyFz系自由基吸附在掩模膜MK的表面(上表面T1以及侧壁S1)上,形成保护膜PF。保护膜PF可以在步骤ST22的执行中抑制掩模膜MK的表面因蚀刻被除去(掩模膜MK的蚀刻速率增加)。由此,含硅膜SF相对于掩模膜MK的选择比提高。
本处理方法中,处理气体包含含磷气体。含磷气体在等离子体中生成磷活性种。磷活性种可以与掩模膜MK所含的元素键合而构成保护膜PF的一部分。例如,当掩模膜MK含碳时,磷活性种可以与掩模MK表面的碳键合而构成保护膜PF的一部分。磷与碳的键合能量比碳之间的键合能量大,该保护膜PF在步骤ST22的执行中会抑制掩模膜MK的表面因蚀刻被除去(掩模膜MK的蚀刻速率增加)。即,处理气体所含的含磷气体可有助于提高含硅膜SF的选择比。
如图7所示,由CxHyFz自由基形成的保护膜PF也可以形成在含硅膜SF的侧壁S2上。该保护膜PF在步骤ST22的执行中可以抑制含硅膜SF的侧壁S2在横方向(图7的左右方向)上被蚀刻。由此,可以适当地保持形成于含硅膜SF中的凹部RC的形状及/或尺寸。例如,可以抑制形成于含硅膜SF中的凹部RC的宽度在一部分中变宽(弯曲)或者凹部RC在横方向上被蚀刻而在深度方向(图7的自上而下的方向)上无法直线地前进(弯折或扭曲等)。此外,保护膜PF可以朝向含硅膜SF的深度方向变薄。
上述等离子体中的磷活性种可以与含硅膜SF所含的元素键合而构成保护膜PF的一部分。例如,当含硅膜SF是氧化硅膜或氮氧化硅膜等含氧的膜时,等离子体中的磷活性种可以与含硅膜SF的氧键合而构成保护膜PF的一部分。磷与氧的键在化学上是牢固的,包含磷和氧的键的保护膜PF难以通过以小角度冲撞含硅膜SF的侧壁S2的低能量的离子而除去。因此,保护膜PF在步骤ST22的执行中可以抑制含硅膜SF的侧壁S2在横方向上被蚀刻。即,处理气体所含的含磷气体可有助于适当地保持形成于含硅膜SF中的凹部RC的形状及/或尺寸(例如抑制弯曲等)。
此外,步骤ST22中,当在内部空间10s内生成等离子体时,可以从偏压电源64向基板支撑器14周期性地给予电偏压的脉冲波。通过周期性地给予电偏压的脉冲波,可以使蚀刻与保护膜PF的形成交替地进行。
另外,在步骤ST2的执行中,还可以改变供给至内部空间10s的CxHyFz气体的流量。例如,可以用包含第一分压的CxHyFz气体的反应气体进行第一蚀刻后,用包含第二分压的CxHyFz气体的反应气体进行第二蚀刻。由此,例如当含硅膜SF是不同材料的层叠膜时,通过对应于要蚀刻的膜的材料来控制CxHyFz气体的流量,可以适当地对所述层叠膜进行蚀刻。
另外,在步骤ST2的执行中,供给至内部空间10s的CxHyFz气体的流量在基板W的俯视观察下的基板W的中心部和周边部可以不同。由此,即便是被掩模膜MK的侧壁S1包围的开口OP的尺寸在基板W的中心部和周边部不同时,通过控制CxHyFz气体的流量的分布,也可校正所述尺寸的偏差。
另外,在步骤ST2的执行中,还可以改变腔室10(内部空间10s)内的压力或从偏压电源64供给至基板支撑器14的电偏压。例如,步骤ST2可以包含以下工序:使腔室10内为第一压力,向基板支撑器14供给第一电偏压,对含硅膜SF进行蚀刻的第一工序;和使腔室10内为第二压力,向基板支撑器14供给第二电偏压,对含硅膜SF进行蚀刻的第二工序。步骤ST2还可以交替反复进行第一工序和第二工序。第一压力可以与第二压力不同,例如可以比第二压力大。第一电偏压可以与第二电偏压不同,例如第一电偏压的绝对值可以比第二电偏压的绝对值大。通过适当调整第一压力、第二压力、第一电偏压以及第二电偏压,例如可以在第一工序中,在凹部RC到达基底膜UF之前或者马上到达之前,对含硅膜SF进行各向异性蚀刻,在第二工序中,按照将凹部RC的底部在横方向上扩大的方式进行各向同性蚀刻。
以下,对为了评价本处理方法所进行的各种实验进行说明。本公开并不受以下实验的任何限定。
(实验1)
图8为表示实验1的测定结果的图。实验1中,测定各种反应气体下的HF种的生成量。实验1中,向基板处理装置1的内部空间10s供给作为反应气体的C4H2F6气体、C4F8气体、C4F6气体以及CH2F2气体中的任一者和Ar气体,生成等离子体10分钟,利用四极质谱分析器(quadrupole mass analyzer)测定等离子体生成前和等离子体生成后的HF强度。基板支撑器14的温度设定为-40℃。图8的纵轴表示等离子体生成前的HF强度与等离子体生成后的HF强度的差。纵轴的值越大,则表示等离子体中的HF种的生成量越多。
如图8所示,本处理方法的反应气体的一实施例中的C4H2F6气体与不含氢元素的C4F8气体以及C4F6气体相比,自然是等离子体中的HF种的生成量更多,即使与含氢元素的CH2F2气体相比,等离子体中的HF种的生成量也更多。
(实验2)
图9以及图10为表示实验2的测定结果的图。图9表示使用等离子体处理装置1、由作为氟化氢气体以及氩气的混合气体的处理气体生成等离子体并蚀刻了氧化硅膜的实验结果。图10表示使用等离子体处理装置1、由作为氟化氢气体、氩气以及PF3气体的混合气体的处理气体生成等离子体并蚀刻了氧化硅膜的实验结果。实验2中,一边改变基板支撑器14的温度一边蚀刻氧化硅膜,使用四极质谱分析器(quadrupole mass analyzer)测定氧化硅膜的蚀刻时的气相中的氟化氢(HF)的量和SiF3的量。图9以及图10的横轴表示基板支撑器14的温度T(℃)、纵轴表示氟化氢(HF)及SiF3的量(以氦为基准进行了标准化的强度)。
如图9所示,处理气体为氟化氢气体和氩气的混合气体时,在基板支撑器14的温度为约-60℃以下的温度时,作为蚀刻剂的氟化氢(HF)的量减少,作为通过氧化硅膜的蚀刻所生成的反应产物的SiF3的量增加。即,处理气体为氟化氢气体和氩气的混合气体时,在基板支撑器14的温度为约-60℃以下的温度下,在氧化硅膜的蚀刻中被利用的蚀刻剂的量增加。
如图10所示,处理气体为氟化氢气体、氩气及PF3气体的混合气体时,在基板支撑器14的温度为约20℃以下的温度时,作为蚀刻剂的氟化氢(HF)的量减少,作为通过氧化硅膜的蚀刻所生成的反应产物的SiF3的量增加。即,处理气体除了氟化氢气体、氩气之外还进一步包含PF3气体时,在基板支撑器14的温度为约20℃以下的温度下,在氧化硅膜的蚀刻中被利用的蚀刻剂的量增加。
由实验2可知,基板支撑器14的温度越为低温,则越促进氧化硅膜的蚀刻,越可改善氧化硅膜相对于掩模膜MK的选择比。另外可知,当处理气体包含PF3气体时,即在蚀刻时磷活性种存在于氧化硅膜的表面的状态下,即便是基板支撑器14的温度为约20℃以下的温度,也可促进蚀刻剂在氧化硅膜上的吸附,可以提高蚀刻速率。
(实验3)
图11以及图12为表示实验3的测定结果的图。实验3中,在基板支撑器14上准备具有与基板W相同结构的样品基板。向基板处理装置1的内部空间10s供给处理气体,生成等离子体,对所述样品基板的含硅膜SF进行蚀刻。基板支撑器14的温度设定为-40℃。作为处理气体,分别使用包含C4H2F6气体、HF气体以及PF3气体的处理气体1和包含C4F8气体、HF气体的处理气体2。处理气体1以及处理气体2相对于反应气体的总流量包含5体积%以下的C4F8气体以及C4H2F6气体。处理气体1以及处理气体2相对于反应气体的总流量包含90体积%以上的HF气体。图11表示凹部RC的长宽比(AR)与含硅膜SF相对于掩模膜MK的选择比(Sel.)的关系。此外,选择比可以通过用含硅膜SF的蚀刻速率除以掩模膜MK的蚀刻速率来求算。图12表示凹部RC的长宽比(AR)与含硅膜SF的凹部RC的最大宽度(弯曲CD:CDm[nm])的关系。
如图11以及图12所示,使用本处理方法的处理气体的一实施例的处理气体1时,即便是形成于含硅膜SF的凹部RC的长宽比增高,与使用处理气体2时相比,也可维持高的选择比,并且抑制了弯曲CD的增加。
(实验4)
图13为用于说明凹部RC的截面形状的评价方法之一例的图。图13中,中心基准线CL是通过掩模膜MK的下表面或含硅膜SF的上表面的凹部RC的宽度中点MP的线。通过沿着凹部RC的深度方向测定中点MP自中心基准线CL的偏离量,可以评价凹部RC的形状。例如,通过所述偏离量,可以评价形成于含硅膜SF上的凹部RC的弯折或扭曲。
图14以及图15为表示实验4的测定结果的图。实验4中,在基板支撑器14上准备具有与基板W相同结构的样品基板。向基板处理装置1的内部空间10s供给处理气体,生成等离子体,对所述样品基板的含硅膜SF进行蚀刻。基板支撑器14的温度设定为-40℃。作为处理气体,分别使用与实验3相同的处理气体1以及处理气体2。在蚀刻后,对于处理气体1以及处理气体2的各情况,比较形成于含硅膜SF的5个凹部RC的形状。
图14中,纵轴表示形成于含硅膜SF的凹部RC的深度D(μm)。深度0是与掩膜MK的边界。横轴表示平均偏离量S(nm)。平均偏离量S为对5个凹部RC分别沿着深度方向测定图13中说明的中点MP自中心基准线CL的偏离量,将这些偏离量取平均而得到。如图14所示,使用了本处理方法一实施例的处理气体1时,平均偏离量S在整个深度方向上都较小。使用处理气体2时,随着凹部RC的深度增大,平均偏离量S增大。
上述各凹部RC的偏离量根据所述凹部RC的弯折方向可以取正以及负的任一种值。因此,即便是各凹部RC的偏离量的绝对值大,在各凹部RC的弯折方向上具有偏差时,平均偏离量S也可以变小。因此,如图15所示,对各凹部RC的偏离量的绝对值的平均(分散)也进行了评价。图15中,纵轴表示上述5个凹部RC的分散Sabs(nm)。分散Sabs是将各凹部RC的各偏离量的绝对值取平均而得到的值。横轴表示形成于含硅膜SF上的凹部RC的深度D(μm)。深度0是与掩膜MK的边界。如图15所示,使用了处理气体1时,与处理气体2相比,即便是深度增大,也抑制了分散Sabs(nm)的增加。根据图15,在图14中,在使用了处理气体1时平均偏离量S在整个深度方向上较小并不是由于在各凹部RC的弯折方向上具有正负的偏差,而是因为各凹部RC的偏离量本身就较小。
由实验4可知,使用了本处理方法的一实施例的处理气体1时,与使用了处理气体2时相比,可抑制凹部RC的弯折或扭曲,蚀刻进一步在垂直方向上进行。
另外,公开的实施方式进一步包含以下方式。
(附记1)
一种蚀刻气体组合物,其包含选自C4H2F6气体、C4H2F8气体、C3H2F4气体以及C3H2F6气体中的至少1种气体、HF气体、以及卤化磷气体。
(附记2)
根据附记1所述的蚀刻气体组合物,其中,上述卤化磷气体包含选自PF3气体、PF5气体、POF3气体、HPF6气体、PCl3气体、PCl5气体、POCl3气体、PBr3气体、PBr5气体、POBr3气体或PI3气体中的至少1种。
(附记3)
根据附记1或附记2所述的蚀刻气体组合物,其进一步包含选自含卤素气体、含碳气体、含氧气体以及含氮气体中的至少1种。
(附记4)
根据附记3所述的蚀刻气体组合物,其中,上述含卤素气体为选自含氯气体、含溴气体以及含碘气体中的至少1种。
(附记5)
根据附记3所述的蚀刻气体组合物,其中,上述含卤素气体为选自Cl2、SiCl2、SiCl4、CCl4、SiH2Cl2、Si2Cl6、CHCl3、SO2Cl2、BCl3、PCl3、PCl5、POCl3、Br2、HBr、CBr2F2、C2F5Br、PBr3、PBr5、POBr3、BBr3、HI、CF3I、C2F5I、C3F7I、IF5、IF7、I2以及PI3中的至少1种气体。
(附记6)
根据附记3~附记5中任一项所述的蚀刻气体组合物,其中,上述含碳气体为选自CaHb(a以及b为1以上的整数)气体、CcFd(c以及d为1以上的整数)气体以及CHeFf(e以及f为1以上的整数)气体中的至少1种。
(附记7)
根据附记3~附记6中任一项所述的蚀刻气体组合物,其中,上述含氮气体为选自NF3气体、N2气体以及NH3气体中的至少1种。
(附记8)
根据附记1~附记6中任一项所述的蚀刻气体组合物,其进一步包含含氧气体,上述含氧气体为选自O2气体、CO气体、CO2气体、H2O气体以及H2O2气体中的至少1种。
(附记9)
根据附记1~附记8中任一项所述的蚀刻气体组合物,其进一步包含选自含硼气体以及含硫气体中的至少1种。
(附记10)
根据附记1~附记9中任一项所述的蚀刻气体组合物,其进一步包含不活泼性气体。
本处理方法在不脱离本公开范围及主旨的情况下可进行各种变形。例如,本处理方法除了使用电容耦合型的基板处理装置1以外,还可以使用利用电感耦合型等离子体或微波等离子体等任意等离子体源的基板处理装置来执行。
符号说明
1基板处理装置、10腔室、10s内部空间、12腔室主体、14基板支撑器、16电极板、18下部电极、20静电夹盘、30上部电极、50排气装置、62高频电源、64偏压电源、80控制部、CT控制部、SF含硅膜、MK掩模膜、OP开口、PF保护膜、RC凹部、UF基底膜、W基板。

Claims (27)

1.一种基板处理方法,其包含以下工序:
在腔室内准备具有含硅膜的基板的工序;和
将包含选自C4H2F6气体、C4H2F8气体、C3H2F4气体及C3H2F6气体中的至少1种气体、HF气体以及卤化磷气体的处理气体导入至所述腔室内生成等离子体,对所述基板的含硅膜进行蚀刻的工序。
2.根据权利要求1所述的基板处理方法,其中,所述卤化磷气体包含选自PF3气体、PF5气体、POF3气体、HPF6气体、PCl3气体、PCl5气体、POCl3气体、PBr3气体、PBr5气体、POBr3气体或PI3气体中的至少1种。
3.根据权利要求1或2所述的基板处理方法,其中,所述处理气体进一步包含选自含卤素气体、含碳气体、含氧气体以及含氮气体中的至少1种。
4.根据权利要求3所述的基板处理方法,其中,所述含卤素气体为选自含氯气体、含溴气体以及含碘气体中的至少1种。
5.根据权利要求3所述的基板处理方法,其中,所述含卤素气体为选自Cl2、SiCl2、SiCl4、CCl4、SiH2Cl2、Si2Cl6、CHCl3、SO2Cl2、BCl3、PCl3、PCl5、POCl3、Br2、HBr、CBr2F2、C2F5Br、PBr3、PBr5、POBr3、BBr3、HI、CF3I、C2F5I、C3F7I、IF5、IF7、I2以及PI3中的至少1种气体。
6.根据权利要求3~5中任一项所述的基板处理方法,其中,所述含碳气体为选自CaHb气体、CcFd气体以及CHeFf气体中的至少1种,其中a以及b为1以上的整数,c以及d为1以上的整数,e以及f为1以上的整数。
7.根据权利要求3~6中任一项所述的基板处理方法,其中,所述含氮气体为选自NF3气体、N2气体以及NH3气体中的至少1种。
8.根据权利要求1~6中任一项所述的基板处理方法,其中,所述处理气体进一步包含含氧气体,所述含氧气体为选自O2气体、CO气体、CO2气体、H2O气体以及H2O2气体中的至少1种。
9.根据权利要求1~8中任一项所述的基板处理方法,其中,所述处理气体进一步包含选自含硼气体以及含硫气体中的至少1种。
10.根据权利要求1~9中任一项所述的基板处理方法,其中,所述处理气体进一步包含不活泼性气体。
11.根据权利要求1~10中任一项所述的基板处理方法,其中,所述含硅膜包含选自氧化硅膜、氮化硅膜以及多晶硅膜中的至少1种。
12.根据权利要求1~11中任一项所述的基板处理方法,其中,所述基板具有在所述含硅膜上规定至少1个开口的由有机膜或含金属膜形成的掩模。
13.根据权利要求1~12中任一项所述的基板处理方法,其中,
所述进行蚀刻的工序包含在第一期间和与所述第一期间交替的第二期间向所述基板支撑器给予电偏压,
所述第一期间的电偏压为0或第一电平,所述第二期间的电偏压为比所述第一电平大的第二电平。
14.根据权利要求13所述的基板处理方法,其中,
所述进行蚀刻的工序包含在第三期间和与所述第三期间交替的第四期间向所述基板支撑器或与所述基板支撑器相向的上部电极供给用于生成等离子体的高频电,
所述第三期间的所述高频电的电平为0或第三电平,所述第四期间的所述高频电的电平为比所述第三电平大的第四电平,
所述第二期间与所述第四期间至少一部分重复。
15.根据权利要求13或14中任一项所述的基板处理方法,其中,所述电偏压为脉冲电压。
16.根据权利要求1~15中任一项所述的基板处理方法,其中,所述进行蚀刻的工序包含将直流电压或低频电供给至与所述基板支撑器相向的上部电极。
17.根据权利要求1~16中任一项所述的基板处理方法,其中,所述进行蚀刻的工序包含以下工序:
使所述腔室内为第一压力,向所述基板支撑器供给第一电偏压,对所述含硅膜进行蚀刻的第一工序;和
使所述腔室内为第二压力,向所述基板支撑器供给第二电偏压,对所述含硅膜进行蚀刻的第二工序,
其中,所述第一压力与所述第二压力不同及/或所述第一电偏压与所述第二电偏压不同。
18.根据权利要求17所述的基板处理方法,其中,所述第一压力比所述第二压力大。
19.根据权利要求17或18中任一项所述的基板处理方法,其中,所述第一电偏压的大小的绝对值比所述第二电偏压的大小的绝对值大。
20.根据权利要求17~19中任一项所述的基板处理方法,其中,将所述第一工序和所述第二工序交替反复进行。
21.一种基板处理方法,其包含以下工序:
在腔室内准备具有含硅膜的基板的工序;和
将包含CxHyFz气体、含氟气体、以及含磷气体的处理气体导入至所述腔室内生成等离子体,对所述基板的含硅膜进行蚀刻的工序,
其中x为2以上的整数,y以及z为1以上的整数。
22.根据权利要求21所述的基板处理方法,其中,所述含氟气体是能够在所述腔室内生成HF种的气体。
23.根据权利要求21或22中任一项所述的基板处理方法,其中,所述CxHyFz气体具有1个以上的CF3基。
24.根据权利要求21~23中任一项所述的基板处理方法,其中,所述CxHyFz包含选自C3H2F4气体、C3H2F6气体、C4H2F6气体、C4H2F8气体及C5H2F6气体中的至少1种。
25.根据权利要求21~24中任一项所述的基板处理方法,其中,所述含磷气体包含选自PF3气体、PF5气体、POF3气体、HPF6气体、PCl3气体、PCl5气体、POCl3气体、PBr3气体、PBr5气体、POBr3气体、PI3气体、P4O10气体、P4O8气体、P4O6气体、PH3气体、Ca3P2气体、H3PO4气体以及Na3PO4气体中的至少1种。
26.一种基板处理方法,其包含以下工序:
在腔室内的基板支撑器上准备具有含硅膜的基板的工序;
在所述腔室内生成等离子体的工序;以及
使用所述等离子体所含的HF种和CxHyFz种对所述含硅膜进行蚀刻的工序,其中x为2以上的整数,y以及z为1以上的整数,
其中,所述等离子体包含磷的活性种,并且所述HF种的量最多。
27.一种基板处理装置,其具备:
腔室;
设置于所述腔室内的基板支撑器;
供给用于在所述腔室内生成等离子体的电力的等离子体生成部;以及
控制部,
所述控制部执行以下的控制:为了对支撑在所述基板支撑器上的基板的含硅膜进行蚀刻,将包含选自C4H2F6气体、C4H2F8气体、C3H2F4气体以及C3H2F6气体中的至少1种气体、HF气体、以及卤化磷气体的处理气体导入至所述腔室内,利用由所述等离子体生成部供给的电力,生成等离子体。
CN202180052466.4A 2021-05-07 2021-05-07 基板处理方法以及基板处理装置 Pending CN115917711A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2021/017485 WO2022234647A1 (ja) 2021-05-07 2021-05-07 基板処理方法及び基板処理装置

Publications (1)

Publication Number Publication Date
CN115917711A true CN115917711A (zh) 2023-04-04

Family

ID=83932689

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180052466.4A Pending CN115917711A (zh) 2021-05-07 2021-05-07 基板处理方法以及基板处理装置

Country Status (5)

Country Link
US (1) US20230223249A1 (zh)
JP (1) JPWO2022234647A1 (zh)
KR (1) KR20240006488A (zh)
CN (1) CN115917711A (zh)
WO (1) WO2022234647A1 (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3367600B2 (ja) * 1998-06-08 2003-01-14 シャープ株式会社 誘電体薄膜素子の製造方法
JP6408903B2 (ja) 2014-12-25 2018-10-17 東京エレクトロン株式会社 エッチング処理方法及びエッチング処理装置
JP6327295B2 (ja) * 2015-08-12 2018-05-23 セントラル硝子株式会社 ドライエッチング方法
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
US11270889B2 (en) * 2018-06-04 2022-03-08 Tokyo Electron Limited Etching method and etching apparatus
US11251051B2 (en) * 2018-11-02 2022-02-15 Central Glass Company, Limited Dry etching method
JP7274167B2 (ja) * 2019-02-22 2023-05-16 ダイキン工業株式会社 エッチングガス及びそれを用いたエッチング方法

Also Published As

Publication number Publication date
WO2022234647A1 (ja) 2022-11-10
KR20240006488A (ko) 2024-01-15
US20230223249A1 (en) 2023-07-13
JPWO2022234647A1 (zh) 2022-11-10

Similar Documents

Publication Publication Date Title
CN109427576B (zh) 蚀刻方法
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
KR101975236B1 (ko) 실리콘과 산소를 모두 함유하고 있는 물질들의 건식 에칭률의 선택적 억제
CN109103089B (zh) 等离子体处理方法和等离子体处理装置
CN113594032A (zh) 基板处理方法及等离子体处理装置
US20230170189A1 (en) Etching method and plasma processing apparatus
TWI797134B (zh) 電漿處理方法及電漿處理裝置
US20220093367A1 (en) Etching method and plasma processing apparatus
CN115917711A (zh) 基板处理方法以及基板处理装置
CN115943481A (zh) 基板处理方法以及基板处理装置
TW202245051A (zh) 基板處理方法及基板處理裝置
TW202245056A (zh) 基板處理方法及基板處理裝置
US12009219B2 (en) Substrate processing method
US20220199415A1 (en) Substrate processing method
WO2022230118A1 (ja) エッチング方法
CN115312381A (zh) 基板处理装置和基板处理方法
KR20230063309A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR20240014442A (ko) 에칭 방법 및 플라즈마 처리 장치
JP2024015976A (ja) エッチング方法及びプラズマ処理装置
CN115692190A (zh) 等离子体处理方法、等离子体处理装置以及系统
KR20220029478A (ko) 기판 처리 방법 및 플라즈마 처리 장치
CN115312383A (zh) 基板处理方法和基板处理装置
JP2022077710A (ja) エッチング方法
JP2023020916A (ja) プラズマ処理方法及びプラズマ処理装置
CN112786441A (zh) 蚀刻方法及等离子体处理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination