CN115249738A - 半导体结构与其形成方法 - Google Patents

半导体结构与其形成方法 Download PDF

Info

Publication number
CN115249738A
CN115249738A CN202210610857.XA CN202210610857A CN115249738A CN 115249738 A CN115249738 A CN 115249738A CN 202210610857 A CN202210610857 A CN 202210610857A CN 115249738 A CN115249738 A CN 115249738A
Authority
CN
China
Prior art keywords
layer
fin
dielectric layer
dielectric
cap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210610857.XA
Other languages
English (en)
Inventor
张壬泓
柳依秀
林宥霆
张志仲
赵高毅
郭俊铭
彭远清
林颂恩
柯忠廷
赵家峥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN115249738A publication Critical patent/CN115249738A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

提供半导体结构与其形成方法。方法包括形成多个外延层的堆叠于基板上;自堆叠形成第一鳍状结构与第二鳍状结构;形成隔离结构于第一鳍状结构与第二鳍状结构之间;形成覆层于第一鳍状结构与第二鳍状结构上;顺应性沉积第一介电层于覆层上;沉积第二介电层于第一介电层上;平坦化第一介电层与第二介电层,直到露出覆层;进行蚀刻制程,以蚀刻第二介电层而形成盖凹陷;进行修整制程,以修整第一介电层而加宽盖凹陷;以及沉积盖结构于加宽的盖凹陷中。

Description

半导体结构与其形成方法
技术领域
本发明实施例一般关于介电隔离结构,更特别关于相邻的源极/漏极结构之间的介电隔离结构。
背景技术
半导体集成电路产业已经历指数成长。集成电路材料与设计的技术进展,使每一代的集成电路比前一代具有更小且更复杂的电路。在集成电路演进中,功能密度(比如单位芯片面积的内连线装置数目)通常随着几何尺寸(比如采用的制作制程所能产生的最小构件(或线路))缩小而增加。尺寸缩小的制程通常有利于增加产能与降低相关成本。尺寸缩小亦增加处理与制造集成电路的复杂度。
举例来说,随着集成电路技术朝更小的技术节点进展,已导入多栅极装置以增加栅极-通道耦合、降低关闭状态电流、并降低短通道效应以改善栅极控制。多栅极装置通常可视作栅极结构或其部分位于通道区的多侧上的装置。多栅极装置的例子包括鳍状场效晶体管与多桥通道晶体管,其越来越普及且为高效能与低漏电流应用的有力候选者。鳍状场效晶体管的栅极可包覆隆起通道的多侧,比如包覆自基板延伸的半导体材料的鳍状物的顶部与侧壁。多桥通道晶体管的栅极结构可延伸以部分或完全围绕通道区,以接触通道区的两侧或更多侧。由于多桥通道晶体管的栅极结构围绕通道区,其亦可视作围绕栅极晶体管或全绕式栅极晶体管。多桥通道晶体管的通道区可为纳米线、纳米片、或其他纳米结构,因此多桥通道晶体管亦可视作纳米线晶体管或纳米片晶体管。
介电隔离结构用于隔离可能彼此接触的集成电路装置结构。举例来说,介电鳍状物用于隔离自多栅极装置(如多桥通道晶体管)的通道组件外延成长的源极/漏极结构。若无介电鳍状物则相邻的源极/漏极结构可能合并,造成不想要的电性连接。虽然现有的介电隔离结构适用于其预定目的,但无法符合所有方面的需求。
发明内容
本发明一例示性的实施例关于半导体结构的形成方法。方法包括形成多个外延层的堆叠于基板上;自堆叠与基板的一部分形成第一鳍状结构与第二鳍状结构;形成隔离结构于第一鳍状结构与第二鳍状结构之间;形成覆层于第一鳍状结构与第二鳍状结构上;顺应性沉积第一介电层于覆层上;沉积第二介电层于第一介电层上;平坦化第一介电层与第二介电层,直到露出覆层;进行蚀刻制程,以蚀刻第二介电层而形成盖凹陷;进行修整制程,以修整第一介电层而加宽盖凹陷;以及沉积盖结构于加宽的盖凹陷中。
本发明另一例示性的实施例关于半导体结构的形成方法。方法包括接收工件,其包括第一鳍状结构与第二鳍状结构位于基板上,隔离结构位于第一鳍状结构与第二鳍状结构之间,覆层位于隔离结构上并沿着第一鳍状结构与第二鳍状结构的侧壁延伸,顺应介电层接触覆层与隔离结构,以及填充介电层位于顺应介电层上并与第一鳍状结构、第二鳍状结构、与隔离结构隔有顺应介电层。方法更包括进行蚀刻制程,以蚀刻填充介电层而形成盖凹陷;进行修整制程,以修整顺应介电层而加宽盖凹陷;以及沉积盖结构于加宽的盖凹陷中。
本发明又一例示性的实施例关于半导体结构。半导体结构包括第一介电鳍状物与第二介电鳍状物;多个通道组件,位于第一介电鳍状物与第二介电鳍状物之间;以及栅极结构,位于第一介电鳍状物与第二介电鳍状物之间,并包覆每一通道组件。第一介电鳍状物与第二介电鳍状物各自包括基底结构以及盖结构位于基底结构上。盖结构包括底部宽度与顶部宽度,顶部宽度大于底部宽度,使盖结构包括锥形轮廓。
附图说明
图1是本发明一或多个实施例中,形成半导体结构的方法的流程图。
图2至图17是本发明一或多个实施例中,工件在图1的方法的多种制作阶段时的部分剖视图。
图18是本发明一或多个实施例中,盖结构的放大部分剖视图。
其中,附图标记说明如下:
H1:第一高度
H2:第二高度
H3:第三高度
H4:第四高度
W:最终顶部宽度
WB:底部宽度
WT:顶部宽度
100:方法
102,104,106,108,110,112,114,116,118,120,122,124,126,128,130:步骤200:工件
202:基板
204:堆叠
206:牺牲层
206T:顶部牺牲层
208:通道层
208T:顶部通道层
211:沟槽
212:鳍状结构
212B:基底部分
212T:顶部
214:半导体衬垫
216:隔离结构
218:覆层
220:第一介电层
221:盖凹陷
222:第二介电层
223:加宽的盖凹陷
224:盖结构
230:介电鳍状物
232:虚置栅极堆叠
234:栅极间隔物
240:源极/漏极结构
250:栅极结构
260:空洞
2080:通道组件
具体实施方式
下述详细描述可搭配图式说明,以利理解本发明的各方面。值得注意的是,各种结构仅用于说明目的而未按比例绘制,如本业常态。实际上为了清楚说明,可任意增加或减少各种结构的尺寸。
可以理解的是,下述内容提供的不同实施例或例子可实施本发明实施例的不同结构。特定构件与排列的实施例是用以简化本公开而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触,或两者之间隔有其他额外构件而非直接接触。此外,本发明的多种实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。
此外,空间性的相对用语如「下方」、「其下」、「下侧」、「上方」、「上侧」、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。举例来说,若将图式中的装置翻转,则下方或之下的元件将转为上方或之上的元件。元件亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
此外,当数值或数值范围的描述有「约」、「近似」、或类似用语时,旨在涵盖合理范围内的数值,如本技术领域中具有通常知识者考量到制造过程中产生的固有变化。举例来说,基于与制造具有与数值相关的已知制造容许范围,数值或范围涵盖包括所述数目的合理范围,例如在所述数目的+/-10%以内。举例来说,材料层的厚度为约5nm且本技术领域中具有通常知识者已知沉积材料层的制造容许范围为15%时,其包含的尺寸范围为4.25nm至5.75nm。此外,本发明之多种实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。
在制作多桥通道晶体管时,可实施介电鳍状物或混合鳍状物以提供多种功能。在形成源极/漏极结构时,介电鳍状物或混合鳍状物可避免外延成长的材料彼此合并而造成不想要的短路。在形成栅极之后,介电鳍状物或混合鳍状物可作为栅极切割结构或栅极切割结构的一部分,以将栅极结构分成多个部件。在一些例子中,介电鳍状物包括基底结构,以及盖结构位于基底结构上。与盖结构相较,基底结构的介电常数较低,以减少相邻的栅极结构之间的寄生电容。盖结构的抗蚀刻性大于基底结构的抗蚀刻性,且可作为基底结构的盖层。本发明实施例提供具有盖结构的介电鳍状物,以利图案化源极/漏极结构与形成栅极。在一些实施例中,本发明实施例的盖结构包括底部宽度与顶部宽度,且顶部宽度大于底部宽度。此锥形轮廓的较大顶部宽度有利于图案化源极/漏极结构,而较小的底部宽度可接触更多的栅极沟槽。此外,本发明提供多步制程以形成盖结构。盖结构不过度地向下延伸至低介电常数的基底结构中而增加寄生电容。
本发明多种实施例将依据图式进一步说明。图1显示形成半导体装置的方法100的流程图。方法100仅为举例而,非局限本发明实施例至方法100实际说明的内容。在方法100之前、之中、与之后可提供额外步骤,且方法的额外实施例可置换、省略、或调动一些所述步骤。此处不详述所有步骤以简化说明。方法100将搭配图2至图17说明如下,而图2至图17显示工件200在方法100的实施例的不同制作阶段的部分剖视图。由于之后自工件200形成半导体装置或半导体结构,工件200亦可依内容需求视作半导体装置或半导体结构。图2至17中的X方向、Y方向、与Z方向一致且彼此垂直。举例来说,一图式中的X方向平行于不同图式中的X方向。此外,本发明实施例的内容以类似标号标示类似结构。
如图1及图2所示,方法100的步骤102接收工件200。如图2所示,工件200包括基板202与位于基板202上的堆叠204。在一实施例中,基板202可为硅基板。在一些其他实施例中,基板202可包含其他半导体材料如锗、硅锗、或III-V族半导体材料。III-V族半导体材料的例子可包含砷化镓、磷化铟、磷化镓、氮化镓、磷砷化镓、砷化铝铟、砷化铝镓、磷化镓铟、或砷化镓铟。基板202亦可包含绝缘层如氧化硅层,以具有绝缘层上硅结构或绝缘层上锗结构。在一些实施例中,基板202可包含一或多个井区(如掺杂n型掺质如磷或砷的n型井区,或掺杂p型掺质如硼或铟的p型井区),以用于形成不同型态的装置。掺杂n型井与p型井的方法可采用离子布植或热扩散。
如图2所示,堆叠204包括多个牺牲层206夹设于多个通道层208之间。通道层208与牺牲层206可具有不同的半导体组成。在一些实施方式中,通道层208的组成为硅而牺牲层206的组成为硅锗。在这些实施方式中,牺牲层206中的额外锗含量,可用于选择性移除牺牲层206或使牺牲层206凹陷,而实质上不损伤通道层208。在一些实施例中,牺牲层206与通道层208的沉积方法可采用外延制程。堆叠204的外延沉积方法可采用化学气相沉积为主的技术如气相外延及/或超高真空化学气相沉积、分子束外延、及/或其他合适制程。可交错沉积一个又一个的牺牲层206与通道层208以形成堆叠204。在所述实施例中,堆叠204可进一步包含顶部通道层208T与顶部牺牲层206T,其可一起作为硬遮罩以保护其下的堆叠204的其余部分,且后续制程可完全移除顶部通道层208T与顶部牺牲层206T。不计顶部通道层208T与顶部牺牲层206T,图2所示的堆叠204包括三个牺牲层206与三个通道层208,其仅用于说明目的而非局限本发明实施例至权利要求未实际记载处。堆叠204中的层状物数目取决于半导体装置如工件200所需的通道组件数目。在一些实施例中,通道层208的数目(不含顶部通道层208T)可介于2至10之间。
如图1、图3、及图4所示,方法100的步骤104形成鳍状结构212。在一些实施例中,步骤104图案化堆叠204与基板202的一部分以形成沟槽211所定义的鳍状结构212。如图3所示,每一鳍状结构212包括自基板202的一部分形成的基底部分212B,以及自堆叠204形成的顶部212T。顶部212T位于基底部分212B上。鳍状结构212沿着Y方向纵向延伸,并沿着Z方向自基板202垂直延伸。鳍状结构212的图案化方法可采用合适制程,包括双重图案化或多重图案化制程。一般而言,双重图案化或多重图案化制程结合光微影与自对准制程,其产生的图案间距可小于采用单一的直接光微影制程所得的图案间距。举例来说,一实施例可先沉积硬遮罩层于堆叠204上,接着形成材料层于硬遮罩层上。采用光微影制程可图案化材料层。采用自对准制程可沿着图案化的材料层侧部形成间隔物。接着移除材料层,且保留的间隔物或芯之后可用于图案化硬遮罩层。图案化的硬遮罩层之后可用于蚀刻堆叠204与基板202以图案化鳍状结构212。蚀刻制程可包含干蚀刻、湿蚀刻、反应性离子蚀刻、及/或其他合适制程。在一些实施例中,半导体衬垫214可沉积于鳍状结构212上,如图4所示。半导体衬垫214可包含硅或富硅的硅锗。在一些实施方式中,半导体衬垫214的沉积方法可采用原子层沉积、等离子体辅助原子层沉积、气相外延、分子束外延、或合适方法。
如图1及图5所示,方法100的步骤106形成隔离结构216。在形成鳍状结构212之后,形成图5所示的隔离结构216于相邻的鳍状结构212之间。隔离结构216亦可视作浅沟槽隔离结构216。在制程的一例中,先沉积隔离结构216所用的介电材料于工件200上的半导体衬垫214上,以将介电材料填入鳍状结构212之间的沟槽211。在一些实施例中,介电材料可包含氧化硅、氮化硅、氮氧化硅、氟硅酸盐玻璃、低介电常数的介电层、上述的组合、及/或其他合适材料。在多种例子中,介电材料的沉积方法可为化学气相沉积制程、可流动的化学气相沉积制程、旋转涂布、及/或其他合适制程。接着可由化学机械研磨制程等方法薄化并平坦化沉积的介电材料,直到露出半导体衬垫214的至少一部分。可由干蚀刻制程、湿蚀刻制程、及/或上述的组合使平坦化的介电材料进一步凹陷,以形成隔离结构216。如图5所示,鳍状结构212的顶部212T凸起高于隔离结构216,而隔离结构216可围绕鳍状结构212的基底部分212B。
如图1及图6所示,方法100的步骤108形成覆层218于鳍状结构212上。在一些实施例中,覆层218的组成可与牺牲层206的组成类似。在一例中,覆层218的组成可为硅锗。牺牲层206与覆层218的组成类似,使后续制程可选择性地同时移除牺牲层206与覆层218。在一些实施例中,顺应性地外延成长覆层218的方法可采用气相外延或分子束外延。如图6所示,覆层218可选择性地位于半导体衬垫214的露出表面上,而不位于介电材料所组成的隔离结构216上。在一些例子中,覆层218的厚度可介于约5nm至约10nm之间。在沉积覆层218之后,沟槽211中露出的隔离结构216的一部分因半导体衬垫214与覆层218而变窄。
如图1及图7所示,方法100的步骤110沉积第一介电层220与第二介电层222于覆层上(包括沉积于沟槽211中)。在制程的例子中,第一介电层220可顺应性地沉积于工件200上(包括沉积于沟槽211中),如图7所示。第一介电层220的沉积方法可采用等离子体辅助化学气相沉积、原子层沉积、或合适方法。第一介电层220可衬垫沟槽211的侧壁与下表面,其可在步骤110之前由覆层218所定义。第一介电层220亦可视作介电衬垫或外侧层。在一些实施例中,第一介电层220的厚度介于约3nm至约6nm之间,比如介于约4nm至约5nm之间。接着沉积第二介电层222于工件200上的第一介电层220,其可采用化学气相沉积、次压化学气相沉积、可流动的化学气相沉积、原子层沉积、旋转涂布、及/或其他合适制程。第二介电层222亦可视作介电填充层或内侧层。第一介电层220可包含硅、氮化硅、碳化硅、碳氮化硅、碳氮氧化硅、或可氧化的合适介电材料。在一些例子中,第一介电层220不含氧。在一些其他例子中,第一介电层220至少不完全氧化。在所述实施例中,第一介电层220可包含碳氮化硅。第二介电层222可包含氧化硅,或者已完全氧化或氧化剂难以氧化的其他介电层。在所述实施例中,第二介电层222的组成为氧化硅。
如图1及图8所示,方法100的步骤112在沉积第一介电层220与第二介电层222之后平坦化工件200。可采用化学机械研磨制程进行步骤112的平坦化制程,直到露出覆层218,如图8所示。如图8所示,顶部通道层208T、半导体衬垫214、第一介电层220、与第二介电层222的上表面共平面。
如图1及图9所示,方法100的步骤114选择性蚀刻第二介电层222以形成盖凹陷221。步骤114的蚀刻制程对第二介电层222(其于所述实施例中的组成为氧化硅)具有高选择性。在一些实施例中,步骤114的选择性蚀刻制程可维化学氧化物移除制程或原子层蚀刻。举例来说,可由氨与氢氟酸交错处理含有第二介电层222的工件200。化学处理可产生六氟硅酸盐((NH4)2SiF6),其可由退火制程或去离子水清洗制程移除。在制程的一例中,以多个化学处理的循环处理工件200。每一循环包括氨处理的第一时段,以及氢氟酸处理的第二时段。第一时段比第二时段短。在一些例子中,第一时段约为第二时段的一半,以确保适当地化学处理第二介电层222。可重复2次至6次的处理循环。选择性蚀刻制程可设置以选择性地蚀刻第二介电层222,并维持实质上平坦的底部轮廓。如图9所示,由于步骤114的蚀刻制程对第二介电层222具有高选择性,因此实质上未蚀刻顶部通道层208T、覆层218、与第一介电层220。步骤114可形成盖凹陷221。
如图1及图10所示,方法100的步骤116修整第一介电层220以加宽盖凹陷221,进而形成加宽的盖凹陷223。步骤116的修整制程对第一介电层220具有选择性,其组成为可氧化的介电材料如所述实施例的碳氮化硅。在一些实施例中,步骤116的选择性修整制程可分成化学处理步骤与冲洗步骤。化学处理步骤可采用氧化剂以氧化第一介电层220,但不氧化第二介电层222。冲洗步骤可采用酸移除化学处理步骤的产物。举例来说,步骤116可在化学处理步骤中以高温硫酸与过氧化氢的混合物处理含第一介电层220的工件200,并以稀氢氟酸对工件200进行冲洗步骤。高温硫酸与过氧化氢的混合物可氧化第一介电层220,而稀氢氟酸可移除氧化物。值得注意的是,步骤116的修整制程亦可蚀刻第二介电层222、覆层218、与顶部通道层208T,不过蚀刻速率较低。在一些实施方式中,可进行第三时段的化学处理步骤与第四时段的冲洗步骤,且第四时段比第三时段短。在一些例子中,第三时段约为第四时段的10至15倍,以确保选择性修整第一介电层220并最小化地蚀刻第二介电层222。如图10所示,由于步骤116的修整制程对第一介电层220具有选择性,可加宽盖凹陷221以形成加宽的盖凹陷223。在图10所示的一些实施例中,每一加宽的盖凹陷223包括底部宽度WB与顶部宽度WT,且顶部宽度WT大于底部宽度WB。如此一来,每一加宽的盖凹陷223包括锥形轮廓,其沿着Z方向向下逐渐变窄。在一些例子中,底部宽度WB介于约10nm至15nm之间,而顶部宽度WT介于约16nm至约20nm之间。换言之,由于步骤116的修整,顶部宽度WT与底部宽度WB的比例可介于约1.1至1.6之间。当此宽度比例小于1.1时,额外修整步骤的成本可能抵销优点。当此宽度比例大于1.6时,填入加宽的盖凹陷223的盖结构悬空的部分可能过多,反而阻碍通道释放制程或栅极形成制程。在一些实施例中,可在修整制程之后进行清洁制程。清洁制程可采用高温硫酸与过氧化氢的混合物。
如图10所示,加宽的盖凹陷223可部分地向下延伸至第一介电层220与第二介电层222中。由于步骤116的修整制程对第一介电层220具有选择性,加宽的盖凹陷223延伸至第一介电层220中的程度大于延伸至第二介电层222中的程度。
如图1及图11所示,方法100的步骤118形成盖结构224于加宽的盖凹陷223中。盖结构224可包含氧化铝、氮化铝、氮氧化铝、氧化锆、氮化锆、氧化锆铝、氧化铪、或合适的介电材料。盖结构224的材料选择使其比露出的其他结构与层状物更能抵抗非等向干蚀刻制程。值得注意的是,盖结构224的介电常数大于第二介电层222的介电常数。在制程的一例中,盖结构224所用的介电材料沉积于工件200上的方法可采用原子层沉积或化学气相沉积。接着可采用化学机械研磨制程平坦化盖结构224所用的介电材料,以移除覆层218上的多余介电材料而形成图11所示的盖结构224。盖结构224可延续加宽的盖凹陷223的形状。步骤118可形成介电鳍状物230。每一介电鳍状物230包括第一介电层220、第二介电层222、与盖结构224。第一介电层220与第二介电层222可组成介电鳍状物230的基底结构,而盖结构224自顶部盖住基底结构。虽然加宽的盖凹陷223有利于形成盖结构224,在靠近盖结构224的上表面仍可能存在微小的非伸长空洞260。如下所述,后续蚀刻或平坦化制程易于移除空洞260,并留下实质上无空洞且无缝的盖结构224。一旦完成平坦化制程,盖结构224的第一高度H1可介于约20nm至约40nm之间。
如图1及图12所示,方法100的步骤120使顶部通道层208T、顶部牺牲层206T、与覆层218的顶部凹陷。制程的一例中非等向蚀刻工件200,可选择性移除覆层218的顶部、半导体衬垫214的顶部、顶部通道层208T、与顶部牺牲层206T,以露出通道层208。步骤120的非等向蚀刻可为干蚀刻制程,其包括氢气、含氟气体(如四氟化碳、三氟化氮、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯气体(如氯气、氯仿、四氯化碳、及/或三氯化硼)、含溴气体(如溴化氢及/或溴仿)、含碘气体、其他合适气体及/或等离子体、及/或上述的组合。值得注意的是,步骤120的非等向蚀刻为无遮罩且自对准的制程,因为非等向蚀刻步骤以明显较慢的速率蚀刻盖结构224。这表示非等向蚀刻可实质上减少盖结构224的高度并圆润化盖结构224的上表面,如图12所示。在此阶段中,可移除或部分露出空洞260(未图示)。值得注意的是,第一介电层220可覆盖盖结构224的侧壁的下侧部分。盖结构224的顶部宽度WT较大,有助于盖结构224抵抗步骤120的蚀刻。由于锥形轮廓,步骤120可能消耗过多的盖结构224,造成步骤124的源极/漏极结构240合并(如下述)。
如图1、图13、及图14所示,方法100的步骤122形成虚置栅极堆叠232于鳍状结构212上。在一些实施例中,可采用栅极置换制程(或栅极后制制程),其中虚置栅极堆叠232可作为功能栅极结构的占位物。其他制程与设置亦属可能。虽然图13未显示,虚置栅极堆叠232包括虚置介电层,以及虚置栅极位于虚置介电层上。虚置栅极堆叠232下方的鳍状结构212的区域可视作通道区。鳍状结构212中的每一通道区,可沿着Y方向夹设于形成源极/漏极所用的两个源极/漏极区之间。在制程的一例中,可由化学气相沉积等制程毯覆性地沉积虚置介电层于工件200上。接着毯覆性沉积虚置栅极所用的材料层于虚置介电层上。接着采用光微影制程图案化虚置介电层与虚置栅极所用的材料层,以形成虚置栅极堆叠232。在一些实施例中,虚置介电层可包含氧化硅,且虚置栅极可包含多晶硅。如图13所示,虚置栅极堆叠232位于盖结构224上,并接触盖结构224的侧壁与上表面。
如图14所示,沿着虚置栅极堆叠232的侧壁形成至少一栅极间隔物234。至少一栅极间隔物234可包括两个或更多个栅极间隔物层。可选择至少一栅极间隔物234所用的介电材料,以选择性移除虚置栅极堆叠232。至少一栅极间隔物234所用的合适介电材料可包含氮化硅、碳氮氧化硅、碳氮化硅、氧化硅、碳氧化硅、碳化硅、氮氧化硅、及/或上述的组合。在制程的一例中,可顺应性沉积至少一栅极间隔物234于工件200上,且沉积方法可采用化学气相沉积、次压化学气相沉积、或原子层沉积。
如图1及图15所示,方法100的步骤124形成源极/漏极结构240。步骤124包括使鳍状结构212的源极/漏极区凹陷以形成源极/漏极凹陷、形成内侧间隔物结构、以及沉积源极/漏极结构240于源极/漏极凹陷中。采用虚置栅极堆叠232与至少一栅极间隔物234作为蚀刻遮罩,可非等向蚀刻工件200以形成源极/漏极凹陷(未图示,其于图15中填有源极/漏极结构240)于鳍状结构212的源极/漏极区上。步骤120的非等向蚀刻可包含干蚀刻制程或合适的蚀刻制程。举例来说,干蚀刻制程可实施含氧气体、氢气、含氟气体(如四氟化碳、六氟化硫、三氟化氮、二氟甲烷、及/或六氟乙烷)、含氯气体(如氯气、氯仿、四氯化碳、及/或三氯化硼)、含溴气体(如溴化氢及/或溴仿)、含碘气体、其他合适气体及/或等离子体、及/或上述的组合。步骤124的干蚀刻制程可由较慢的速率蚀刻至少一栅极间隔物234、盖结构224、与第一介电层220,且实质上不蚀刻介电鳍状物。源极/漏极凹陷中露出多个通道层208、多个牺牲层206、与覆层218的侧壁。
虽然未图示,但步骤124亦可形成内侧间隔物结构以夹设通道层208。在形成源极/漏极凹陷之后,可先使源极/漏极区中露出的牺牲层206选择性地部分凹陷以形成内侧间隔物凹陷,且实质上不蚀刻露出的通道层208。由于覆层218与牺牲层206的组成类似(如硅锗),步骤124亦可蚀刻覆层218。在通道层208基本上由硅所组成、牺牲层206基本上由硅锗所组成、且覆层218基本上由硅锗所组成的实施例中,使牺牲层206与覆层218选择性地部分凹陷的方法可包含由氢氧化铵、过氧化氢、与水的混合物进行蚀刻。在形成内侧间隔物凹陷之后,可采用化学气相沉积或原子层沉积以顺应性地沉积内侧间隔物材料层于工件200上,包括沉积于内侧间隔物凹陷以及覆层218的移除部分所留下的空间之上与之中。内侧间隔物材料可包含氮化硅、碳氮氧化硅、碳氮化硅、氧化硅、碳氧化硅、碳化硅、或氮氧化硅。在沉积内侧间隔物材料层之后,可回蚀刻内侧间隔物材料层以形成内侧间隔物结构。
步骤124亦可包含沉积源极/漏极结构240于源极/漏极凹陷中。在一些实施例中,源极/漏极结构240可选择性地外延沉积于通道层208与基板202其露出的半导体表面上。源极/漏极结构240的沉积方法可采用外延制程,比如气相外延、超高真空化学气相沉积、分子束外延、及/或其他合适制程。源极/漏极结构240可为n型或p型。当源极/漏极结构240为n型时,其可包含硅并掺杂n型掺质如磷或砷。当源极/漏极结构240为p型时,其可包含硅锗或锗并掺杂p型掺质如硼或二氟化硼。可在沉积源极/漏极结构240时进行原位掺杂,或采用布植制程如接面布植制程以异位掺杂源极/漏极结构240。虽然未图示,但源极/漏极结构240可包含不同掺杂浓度的多个外延层。如图15所示,介电鳍状物230可作为相邻的源极/漏极凹陷中的源极/漏极结构的分隔物。在不形成介电鳍状物230或介电鳍状物不够高或宽时,相邻的源极/漏极结构240可能合并而造成不想要的短路。
如图1所示,方法100的步骤126移除虚置栅极堆叠232。步骤126包括沉积接点蚀刻停止层与层间介电层,并移除虚置栅极堆叠232。虽然未图示,但接点蚀刻停止层与层间介电层可沉积于源极/漏极结构240上以保护其免于后续制程。接点蚀刻停止层可包含氮化硅,其可沉积于源极/漏极结构240上,且沉积方法可采用原子层沉积或化学气相沉积。层间介电层的材料可包括四乙氧基硅烷的氧化物、未掺杂的硅酸盐玻璃、掺杂的氧化硅(如硼磷硅酸盐玻璃、氟硅酸盐玻璃、磷硅酸盐玻璃、或硼硅酸盐玻璃)、及/或其他合适的介电材料。层间介电层沉积于接点蚀刻停止层上的方法,可为旋转涂布、可流动的化学气相沉积制程、或其他合适的沉积技术。在沉积接点蚀刻停止层与层间介电层之后,可对工件200进行平坦化制程如化学机械研磨制程,以提供平坦的上表面而露出虚置栅极堆叠232。
接着以选择性蚀刻制程,自工件200移除露出的虚置栅极堆叠232。选择性蚀刻制程可为选择性湿蚀刻制程、选择性干蚀刻制程、或上述的组合。在所述实施例中,选择性蚀刻制程可选择性移除虚置介电层与虚置栅极,而实质上不损伤盖结构224、至少一栅极间隔物234、与第一介电层220。移除虚置栅极堆叠232后,形成栅极沟槽于通道区中。至少一栅极间隔物234可定义栅极沟槽。
如图1及图16所示,方法100的步骤128移除通道区中的牺牲层206,以释放通道组件2080。在移除虚置栅极堆叠232之后,栅极沟槽中可露出通道区中的通道层208、牺牲层206、与覆层218。由于通道层208之间露出了牺牲层206与覆层218的组成类似,可选择性移除上述两者以释放通道层208而形成通道组件2080,如图16所示。通道组件2080可沿着Z方向垂直堆叠。选择性移除牺牲层206与覆层218的方法,可为选择性干蚀刻、选择性湿蚀刻、或其他选择性蚀刻制程。在一些实施例中,选择性湿蚀刻包括氢氧化铵、过氧化氢、与水的混合物蚀刻。在一些其他实施例中,选择性移除步骤包括氧化硅锗之后,移除硅锗氧化物。举例来说,可由臭氧清洁提供氧化,接着以蚀刻剂如氢氧化铵移除硅锗氧化物。在移除通道区中的牺牲层206与覆层218之后,栅极沟槽中可露出第一介电层220、通道组件2080、基底部分212B的上表面、与隔离结构216。盖结构224的锥形轮廓可确保盖结构224与相邻的通道层208不会夹止,或限制对下侧牺牲层206的接触。
如图1、图16、及图17所示,方法100的步骤130形成栅极结构250以包覆每一通道组件2080。栅极结构250的层状物可包含界面层位于通道组件2080与基板202上、栅极介电层位于界面层上、以及栅极层位于栅极介电层上。在一些实施例中,界面层包括氧化硅,且其可由预清洁制程所形成。预清洁制程的例子可采用RCE SC-1(含氨、过氧化氢、与水)及/或RCA SC-2(含氯化氢、过氧化氢、与水)。预清洁制程可氧化通道组件2080与基板202的露出表面,以形成界面层。接着沉积栅极介电层于界面层上,其可采用原子层沉积、化学气相沉积、及/或其他合适方法。栅极介电层可包含高介电常数的介电材料。此处所述的高介电常数的介电材料具有高介电常数,比如大于热氧化硅的介电常数(约3.9)。在一实施例中,栅极介电层可包含氧化铪。在其他实施例中,栅极介电层可包含其他高介电常数的介电层,比如氧化钛、氧化铪锆、氧化钽、氧化铪硅、二氧化锆、氧化锆硅、氧化镧、氧化铝、氧化锆、氧化钇、钛酸锶、钛酸钡、氧化钡锆、氧化铪镧、氧化镧硅、氧化铝硅、氧化铪钽、氧化铪钛、钛酸钡锶、氮化硅、氮氧化硅、上述的组合、或其他合适材料。在形成或沉积界面层与栅极介电层之后,可沉积栅极层于栅极介电层上。栅极层可为多层结构,其可包含至少一功函数层与金属填充层。举例来说,至少一功函数层可包含氮化钛、钛铝、氮化钛铝、氮化钽、钽铝、氮化钽铝、碳化钽铝、碳氮化钽、或碳化钽。金属填充层可包含铝、钨、镍、钛、钌、钴、铂、氮化钽硅、铜、其他耐火金属、其他合适的金属材料、或上述的组合。在多种实施例中,栅极层的形成方法可为原子层沉积、物理气相沉积、化学气相沉积、电子束蒸镀、或其他合适制程。盖结构224的锥形轮廓可确保盖结构224与相邻的通道层208不会夹止,或限制对通道组件2080的接触。
在多种实施例中,可进行平坦化制程如化学机械研磨制程移除多余材料,以提供栅极结构的实质上平坦表面。如图17所示,沉积的栅极结构250可包覆每一通道组件2080并接触介电鳍状物230。更具体而言,栅极结构250直接接触第一介电层220与盖结构224。第二介电层222与栅极结构250隔有第一介电层220。如图17所示,形成栅极结构250之后可平坦化工件200,直到介电鳍状物将栅极结构250分成多个部件。每一介电鳍状物230包括第一介电层220与第二介电层222作为底部,以及盖结构224作为顶部。如图17所示,盖结构224包括第二高度H2,底部包括第三高度H3,且整个介电鳍状物230包括第四高度H4。在一些例子中,第二高度H2可介于约10nm至30nm之间,第三高度H3可介于约30nm至约70nm之间,且第四高度H4可介于约40nm至约100nm之间。第二高度H2与第三高度H3的比例可介于约0.3至约1之间。此比例具有一定的重要性,因其确保盖结构224具有足够厚度以承受蚀刻制程,但不会直接位于两个相邻的源极/漏极结构240之间。
图18是图17中的盖结构224的放大部分剖视图。由于步骤120的蚀刻制程与步骤130的平坦化制程,图18的盖结构224包括底部宽度WB与最终顶部宽度W,且最终顶部宽度W大于底部宽度WB,其中最终顶部宽度W小于顶部宽度WT。如此一来,盖结构224仍具有锥形轮廓。底部宽度WB介于约10nm至约15nm之间,而最终顶部宽度W介于约15.5nm至18nm之间。图18中的盖结构224亦可具有第二高度H2,其小于第一高度H1。如上所述,第二高度H2可介于约10nm至约30nm之间。盖结构224沿着Z方向部分地延伸至第一介电层220与第二介电层222中。由于步骤116的修整制程,盖结构224进一步延伸至第一介电层220中的程度大于延伸至第二介电层222中的程度。第二介电层222的介电常数小于第一介电层220的介电常数,且可用于降低寄生电容。盖结构224的组成为金属氧化物,其介电常数甚至大于第一介电层220的介电常数。由于实施本发明实施例的制程,盖结构224不过度延伸至第二介电层222中,进而避免不想要的寄生电容增加。在步骤130的化学机械研磨之后,可移除空洞260。加宽的盖凹陷223的锥形轮廓可避免形成伸长的缝状空洞。伸长的缝状空洞在多种蚀刻或平坦化制程时,可能劣化盖结构224的完整性。
基于上述内容,可知本发明实施例与现有制程相较能提供许多优点。然而应理解其他实施例可提供额外优点,此处不必说明所有优点,且所有实施例不需具有特定优点。举例来说,本发明实施例所公开的制程可形成加宽的盖凹陷,以及向下逐渐变窄(锥形)的盖结构。盖结构的顶部宽度较宽有利于图案化源极/漏极结构,而底部宽度较窄可加大通道释放与栅极形成的制程容许范围。此外,锥形轮廓可避免形成缝形空洞于盖结构中。
本发明一例示性的实施例关于半导体结构的形成方法。方法包括形成多个外延层的堆叠于基板上;自堆叠与基板的一部分形成第一鳍状结构与第二鳍状结构;形成隔离结构于第一鳍状结构与第二鳍状结构之间;形成覆层于第一鳍状结构与第二鳍状结构上;顺应性沉积第一介电层于覆层上;沉积第二介电层于第一介电层上;平坦化第一介电层与第二介电层,直到露出覆层;进行蚀刻制程,以蚀刻第二介电层而形成盖凹陷;进行修整制程,以修整第一介电层而加宽盖凹陷;以及沉积盖结构于加宽的盖凹陷中。
在一些实施例中,覆层包括硅锗,第一介电层包括碳氮化硅、碳化硅、或氮化硅,以及第二介电层包括氧化硅。在一些例子中,蚀刻制程包括化学氧化物移除的多个循环。在一些实施例中,蚀刻制程包括采用氨与氢氟酸。在一些实施例中,修整制程包括化学处理步骤,其包括采用氧化剂;以及冲洗步骤,其包括采用酸。在一些实施方式中,氧化剂包括高温硫酸与过氧化氢的混合物,而酸包括稀氢氟酸。在一些例子中,化学处理步骤持续第一时段,冲洗步骤持续第二时段,第二时段比第一时段短,且第一时段与第二时段的比例介于约10至15之间。在一些实施例中,方法更包括在修整制程之后,进行清洁制程。清洁制程包括采用高温硫酸与过氧化氢的混合物。
本发明另一例示性的实施例关于半导体结构的形成方法。方法包括接收工件,其包括第一鳍状结构与第二鳍状结构位于基板上,隔离结构位于第一鳍状结构与第二鳍状结构之间,覆层位于隔离结构上并沿着第一鳍状结构与第二鳍状结构的侧壁延伸,顺应介电层接触覆层与隔离结构,以及填充介电层位于顺应介电层上并与第一鳍状结构、第二鳍状结构、与隔离结构隔有顺应介电层。方法更包括进行蚀刻制程,以蚀刻填充介电层而形成盖凹陷;进行修整制程,以修整顺应介电层而加宽盖凹陷;以及沉积盖结构于加宽的盖凹陷中。
在一些实施例中,在蚀刻制程之前,第一鳍状结构、第二鳍状结构、覆层、顺应性介电层、与填充介电层的上表面共平面。在一些实施例中,填充介电层包括氧化硅,而顺应性介电层实质上无氧。在一些实施例中,顺应性介电层包括碳氮化硅。在一些实施例中,盖结构包括氧化铝、氧化铪、氧化锆、或氧化锌。在一些实施例中,加宽的盖凹陷的顶部宽度大于底部宽度,使加宽的盖凹陷包括锥形轮廓。
本发明又一例示性的实施例关于半导体结构。半导体结构包括第一介电鳍状物与第二介电鳍状物;多个通道组件,位于第一介电鳍状物与第二介电鳍状物之间;以及栅极结构,位于第一介电鳍状物与第二介电鳍状物之间,并包覆每一通道组件。第一介电鳍状物与第二介电鳍状物各自包括基底结构以及盖结构位于基底结构上。盖结构包括底部宽度与顶部宽度,顶部宽度大于底部宽度,使盖结构包括锥形轮廓。
在一些实施例中,底部宽度介于约10nm至约15nm之间,以及顶部宽度介于约15.5nm至约18nm之间。在一些实施例中,基底结构包括外侧层以接触栅极结构,以及内侧层,且内侧层与栅极结构隔有外侧层,以及盖结构具有部分延伸至外侧层中的第一深度,以及部分延伸至内侧层中的第二深度,且第二深度小于第一深度。在一些实施例中,内侧层包括氧化硅,且外侧层实质上不含氧。在一些例子中,外侧层包括碳氮化硅。
上述实施例的特征有利于本技术领域中具有通常知识者理解本发明。本技术领域中具有通常知识者应理解可采用本发明作基础,设计并变化其他制程与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中具有通常知识者亦应理解,这些等效置换并未脱离本发明精神与范畴,并可在未脱离本发明的精神与范畴的前提下进行改变、替换、或更动。

Claims (10)

1.一种半导体结构的形成方法,包括:
形成多个外延层的一堆叠于一基板上;
自该堆叠与该基板的一部分形成一第一鳍状结构与一第二鳍状结构;
形成一隔离结构于该第一鳍状结构与该第二鳍状结构之间;
形成一覆层于该第一鳍状结构与该第二鳍状结构上;
顺应性沉积一第一介电层于该覆层上;
沉积一第二介电层于该第一介电层上;
平坦化该第一介电层与该第二介电层,直到露出该覆层;
进行一蚀刻制程,以蚀刻该第二介电层而形成一盖凹陷;
进行一修整制程,以修整该第一介电层而加宽该盖凹陷;以及
沉积一盖结构于加宽的该盖凹陷中。
2.如权利要求1所述的半导体结构的形成方法,
其中该覆层包括硅锗,
其中该第一介电层包括碳氮化硅、碳化硅、或氮化硅,以及
其中该第二介电层包括氧化硅。
3.如权利要求1所述的半导体结构的形成方法,其中该蚀刻制程包括化学氧化物移除的多个循环。
4.如权利要求3所述的半导体结构的形成方法,其中该蚀刻制程包括采用氨与氢氟酸。
5.一种半导体结构的形成方法,包括:
接收一工件,其包括:
一第一鳍状结构与一第二鳍状结构,位于一基板上,
一隔离结构,位于该第一鳍状结构与该第二鳍状结构之间,
一覆层,位于该隔离结构上并沿着该第一鳍状结构与该第二鳍状结构的侧壁延伸,
一顺应介电层,接触该覆层与该隔离结构,以及
一填充介电层,位于该顺应介电层上,并与该第一鳍状结构、该第二鳍状结构、与该隔离结构隔有该顺应介电层;
进行一蚀刻制程,以蚀刻该填充介电层而形成一盖凹陷;
进行一修整制程,以修整该顺应介电层而加宽该盖凹陷;以及
沉积一盖结构于加宽的该盖凹陷中。
6.如权利要求5所述的半导体结构的形成方法,其中在该蚀刻制程之前,该第一鳍状结构、该第二鳍状结构、该覆层、该顺应性介电层、与该填充介电层的上表面共平面。
7.如权利要求5所述的半导体结构的形成方法,其中该填充介电层包括氧化硅,而顺应性介电层实质上无氧。
8.一种半导体结构,包括:
一第一介电鳍状物与一第二介电鳍状物;
多个通道组件,位于该第一介电鳍状物与该第二介电鳍状物之间;以及
一栅极结构,位于该第一介电鳍状物与该第二介电鳍状物之间,并包覆每一所述通道组件;
其中该第一介电鳍状物与该第二介电鳍状物各自包括一基底结构以及一盖结构位于该基底结构上,以及
其中该盖结构包括一底部宽度与一顶部宽度,该顶部宽度大于该底部宽度,使该盖结构包括一锥形轮廓。
9.如权利要求8所述的半导体结构,
其中该底部宽度介于约10nm至约15nm之间,以及
其中该顶部宽度介于约15.5nm至约18nm之间。
10.如权利要求8所述的半导体结构,
其中该基底结构包括一外侧层以接触该栅极结构,以及一内侧层,且该内侧层与该栅极结构隔有该外侧层,以及
其中该盖结构具有部分延伸至该外侧层中的一第一深度,以及部分延伸至该内侧层中的一第二深度,且该第二深度小于该第一深度。
CN202210610857.XA 2021-06-25 2022-05-31 半导体结构与其形成方法 Pending CN115249738A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/359,105 US11532733B1 (en) 2021-06-25 2021-06-25 Dielectric isolation structure for multi-gate transistors
US17/359,105 2021-06-25

Publications (1)

Publication Number Publication Date
CN115249738A true CN115249738A (zh) 2022-10-28

Family

ID=83697914

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210610857.XA Pending CN115249738A (zh) 2021-06-25 2022-05-31 半导体结构与其形成方法

Country Status (3)

Country Link
US (2) US11532733B1 (zh)
CN (1) CN115249738A (zh)
TW (1) TWI799185B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI841430B (zh) 2023-04-11 2024-05-01 南亞科技股份有限公司 包括平面化處理之半導體結構的製備方法及其半導體結構

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
TWI699885B (zh) * 2016-03-22 2020-07-21 聯華電子股份有限公司 半導體結構與其製作方法
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
US10147787B1 (en) * 2017-05-31 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10074575B1 (en) 2017-06-21 2018-09-11 International Business Machines Corporation Integrating and isolating nFET and pFET nanosheet transistors on a substrate
US11271083B2 (en) * 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, FinFET device and methods of forming the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI841430B (zh) 2023-04-11 2024-05-01 南亞科技股份有限公司 包括平面化處理之半導體結構的製備方法及其半導體結構

Also Published As

Publication number Publication date
US20220416058A1 (en) 2022-12-29
US11888049B2 (en) 2024-01-30
TWI799185B (zh) 2023-04-11
US20230098409A1 (en) 2023-03-30
US11532733B1 (en) 2022-12-20
TW202301551A (zh) 2023-01-01

Similar Documents

Publication Publication Date Title
US10978350B2 (en) Structure and method for metal gates with roughened barrier layer
TW202002301A (zh) 半導體結構形成方法
CN110943042A (zh) 集成电路的制作方法
US20230361185A1 (en) Etch profile control of via opening
KR102549861B1 (ko) 반도체 디바이스의 콘택 플러그 구조물 및 그 형성 방법
US11705491B2 (en) Etch profile control of gate contact opening
CN114220858A (zh) 半导体装置
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
CN218482246U (zh) 半导体装置
US11967526B2 (en) Integrated circuit structure and manufacturing method thereof
TWI790618B (zh) 半導體製造方法與其元件
TW202236437A (zh) 半導體裝置的形成方法
TWI799185B (zh) 半導體結構與其形成方法
KR20210148793A (ko) 반도체 소자 및 그 형성 방법
KR102575956B1 (ko) 집적 회로 구조체 및 그 제조 방법
US11942371B2 (en) Etch profile control of via opening
US11955430B2 (en) Method of manufacturing semiconductor device and semiconductor devices
CN114078766A (zh) 半导体装置
CN113451210A (zh) 半导体结构的形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination