US20220416058A1 - Dielectric isolation structure for multi-gate transistors - Google Patents

Dielectric isolation structure for multi-gate transistors Download PDF

Info

Publication number
US20220416058A1
US20220416058A1 US17/359,105 US202117359105A US2022416058A1 US 20220416058 A1 US20220416058 A1 US 20220416058A1 US 202117359105 A US202117359105 A US 202117359105A US 2022416058 A1 US2022416058 A1 US 2022416058A1
Authority
US
United States
Prior art keywords
dielectric layer
fin
helmet
layer
recess
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US17/359,105
Other versions
US11532733B1 (en
Inventor
Jen-Hong Chang
Yi-Hsiu Liu
You-Ting Lin
Chih-Chung Chang
Kuo-Yi Chao
Jiun-Ming Kuo
Yuan-Ching Peng
Sung-En Lin
Chia-Cheng CHAO
Chung-Ting Ko
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US17/359,105 priority Critical patent/US11532733B1/en
Priority to TW111109203A priority patent/TWI799185B/en
Priority to CN202210610857.XA priority patent/CN115249738A/en
Priority to US18/077,714 priority patent/US11888049B2/en
Application granted granted Critical
Publication of US11532733B1 publication Critical patent/US11532733B1/en
Publication of US20220416058A1 publication Critical patent/US20220416058A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Definitions

  • a multi-gate device generally refers to a device having a gate structure, or portion thereof, disposed over more than one side of a channel region.
  • Fin-like field effect transistors (FinFETs) and multi-bridge-channel (MBC) transistors are examples of multi-gate devices that have become popular and promising candidates for high performance and low leakage applications.
  • a FinFET has an elevated channel wrapped by a gate on more than one side (for example, the gate wraps a top and sidewalls of a “fin” of semiconductor material extending from a substrate).
  • An MBC transistor has a gate structure that can extend, partially or fully, around a channel region to provide access to the channel region on two or more sides. Because its gate structure surrounds the channel regions, an MBC transistor may also be referred to as a surrounding gate transistor (SGT) or a gate-all-around (GAA) transistor.
  • SGT surrounding gate transistor
  • GAA gate-all-around
  • the channel region of an MBC transistor may be formed from nanowires, nanosheets, or other nanostructures and for that reasons, an MBC transistor may also be referred to as a nanowire transistor or a nanosheet transistor.
  • Dielectric isolation structures are used to isolate IC device features that would otherwise come in contact with one another.
  • dielectric fins are used to isolate source/drain features that are epitaxially grown from channel members of multi-gate devices, such as MBC transistors. Without dielectric fins, adjacent source/drain features may merge, resulting in undesirable electrical connections. While existing dielectric isolation structures are adequate for their intended purposes, they are not satisfactory for all purposes.
  • FIG. 1 illustrates a flowchart of a method for forming a semiconductor structure, according to one or more aspects of the present disclosure.
  • FIGS. 2 - 17 illustrate fragmentary cross-sectional views of a workpiece during various fabrication stages in the method of FIG. 1 , according to one or more aspects of the present disclosure.
  • FIG. 18 illustrates an enlarged fragmentary cross-sectional view of a helmet feature, according to one or more aspects of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • a number or a range of numbers is described with “about,” “approximate,” and the like, the term is intended to encompass numbers that are within a reasonable range considering variations that inherently arise during manufacturing as understood by one of ordinary skill in the art.
  • the number or range of numbers encompasses a reasonable range including the number described, such as within +/ ⁇ 10% of the number described, based on known manufacturing tolerances associated with manufacturing a feature having a characteristic associated with the number.
  • a material layer having a thickness of “about 5 nm” can encompass a dimension range from 4.25 nm to 5.75 nm where manufacturing tolerances associated with depositing the material layer are known to be +/ ⁇ 15% by one of ordinary skill in the art.
  • the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • the present disclosure is generally related to dielectric isolation structures and more particularly to dielectric isolation structures between adjacent source/drain features.
  • Dielectric fins or hybrid fins are implemented in fabrication of MBC transistors to serve several functions. During source/drain feature formation, they function to prevent epitaxial growth from merging with one another, causing undesirable shorts. After gate formation, they may serve as a gate cut feature or a part of a gate cut feature to separate a gate structure into multiple segments.
  • a dielectric fin includes a base feature and a helmet feature over the base feature. Compared to the helmet feature, the base feature has a lower dielectric constant than the helmet feature to reduce undesirable parasitic capacitance between adjacent gate structures.
  • the helmet feature is more etch-resistant than the base feature and serves as a capping layer of the base feature.
  • the present disclosure provides a dielectric fin with a helmet feature that facilitates source/drain feature patterning and gate formation.
  • the helmet feature of the present disclosure includes a bottom width and a top width greater than the bottom width. The larger top width of this tapered profile facilitates the source/drain feature patterning and the smaller bottom width creates greater access to the gate trench.
  • the present disclosure provides a multi-step process to form the helmet recess. The result is that the helmet feature does not overly extend downwards into the low-k base feature to increase the parasitic capacitance.
  • FIG. 1 illustrate a flowchart of a method 100 of forming a semiconductor device.
  • Method 100 is merely an example and is not intended to limit the present disclosure to what is explicitly illustrated in method 100 . Additional steps may be provided before, during and after method 100 , and some steps described can be replaced, eliminated, or moved around for additional embodiments of the methods. Not all steps are described herein in detail for reasons of simplicity. Method 100 is described below in conjunction with FIGS. 2 - 17 , which illustrate fragmentary cross-sectional views of a workpiece 200 at different stages of fabrication according to embodiments of method 100 .
  • the workpiece 200 may be referred to as a semiconductor device 200 or a semiconductor structure 200 as the context requires.
  • the X direction, the Y direction, and the Z direction are perpendicular to one another and are used consistently.
  • the X direction in one figure is parallel to the X direction in a different figure.
  • like reference numerals are used to denote like features.
  • method 100 includes a block 102 where a workpiece 200 is received.
  • the workpiece 200 includes a substrate 202 and a stack 204 disposed on the substrate 202 .
  • the substrate 202 may be a silicon (Si) substrate.
  • the substrate 202 may include other semiconductor materials such as germanium (Ge), silicon germanium (SiGe), or a III-V semiconductor material.
  • Example III-V semiconductor materials may include gallium arsenide (GaAs), indium phosphide (InP), gallium phosphide (GaP), gallium nitride (GaN), gallium arsenide phosphide (GaAsP), aluminum indium arsenide (AlInAs), aluminum gallium arsenide (AlGaAs), gallium indium phosphide (GaInP), and indium gallium arsenide (InGaAs).
  • the substrate 202 may also include an insulating layer, such as a silicon oxide layer, to have a silicon-on-insulator ( 50 I) structure or a germanium-on-insulator (GeOI) structure.
  • the substrate 202 may include one or more well regions, such as n-type well regions doped with an n-type dopant (i.e., phosphorus (P) or arsenic (As)) or p-type well regions doped with a p-type dopant (i.e., boron (B)), for forming different types of devices.
  • n-type dopant i.e., phosphorus (P) or arsenic (As)
  • p-type well regions doped with a p-type dopant (i.e., boron (B)
  • the doping the n-type wells and the p-type wells may be formed using ion implantation or thermal diffusion.
  • the stack 204 may include a plurality of channel layers 208 interleaved by a plurality of sacrificial layers 206 .
  • the channel layers 208 and the sacrificial layers 206 may have different semiconductor compositions.
  • the channel layers 208 are formed of silicon (Si) and sacrificial layers 206 are formed of silicon germanium (SiGe).
  • the additional germanium content in the sacrificial layers 206 allow selective removal or recess of the sacrificial layers 206 without substantial damages to the channel layers 208 .
  • the sacrificial layers 206 and channel layers 208 may be deposited using an epitaxial process.
  • the stack 204 may be epitaxially deposited using CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy (MBE), and/or other suitable processes.
  • VPE vapor-phase epitaxy
  • UHV-CVD ultra-high vacuum CVD
  • MBE molecular beam epitaxy
  • the sacrificial layers 206 and the channel layers 208 are deposited alternatingly, one-after-another, to form the stack 204 .
  • the stack 204 may further include a top channel layer 208 T and a top sacrificial layer 206 T that collectively serve as hard mask to protect the rest of the stack 204 below and may be completely removed in a subsequent process.
  • the stack 204 shown in FIG. 2 includes three (3) layers of the sacrificial layers 206 and three (3) layers, which is for illustrative purposes only and not intended to be limiting beyond what is specifically recited in the claims.
  • the number of layers in the stack 204 depends on the desired number of channels members for the semiconductor device 200 . In some embodiments, the number of the channel layers 208 (the top channel layer 208 T excluded) is between 2 and 10.
  • method 100 includes a block 104 where fin-shaped structure 212 are formed.
  • the stack 204 and a portion of the substrate 202 are patterned to form the fin-shaped structures 212 that are defined by trenches 211 .
  • each of the fin-shaped structures 212 includes a base portion 212 B formed from a portion of the substrate 202 and a top portion 212 T formed from the stack 204 .
  • the top portion 212 T is disposed over the base portion 212 B.
  • the fin-shaped structures 212 extend lengthwise along the Y direction and extend vertically along the Z direction from the substrate 202 .
  • the fin-shaped structures 212 may be patterned using suitable processes including double-patterning or multi-patterning processes.
  • double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process.
  • a hard mask layer is first deposited over the stack 204 and then a material layer is formed over the hard mask.
  • the material layer is patterned using a photolithography process. Spacers are formed alongside the patterned material layer using a self-aligned process.
  • a semiconductor liner 214 may be deposited over the fin-shaped structure 212 , as shown in FIG. 4 .
  • the semiconductor liner 214 may include silicon (Si) or silicon-rich silicon germanium (SiGe).
  • the semiconductor liner 214 may be deposited using ALD, PEALD, VPE, MBE, or a suitable method.
  • method 100 includes a block 106 where an isolation feature 216 is formed.
  • the isolation feature 216 shown in FIG. 5 is formed between neighboring fin-shaped structures 212 .
  • the isolation feature 216 may also be referred to as a shallow trench isolation (STI) feature 216 .
  • STI shallow trench isolation
  • a dielectric material for the isolation feature 216 is first deposited over the semiconductor liner 214 over the workpiece 200 , filling the trenches 211 between fin-shaped structures 212 with the dielectric material.
  • the dielectric material may include silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), a low-k dielectric, combinations thereof, and/or other suitable materials.
  • the dielectric material may be deposited by a CVD process, a flowable CVD (FCVD) process, spin-on coating, and/or other suitable process. The deposited dielectric material is then thinned and planarized, for example by a chemical mechanical polishing (CMP) process, until at least a portion of the semiconductor liner 214 is exposed.
  • CMP chemical mechanical polishing
  • the planarized dielectric material is further recessed by a dry etching process, a wet etching process, and/or a combination thereof to form the isolation feature 216 .
  • the top portions 212 T of the fin-shaped structures 212 rise above the isolation feature 216 while the base portions 212 B are surrounded by the isolation feature 216 .
  • method 100 includes a block 108 where a cladding layer 218 is formed over the fin-shaped structures 212 .
  • the cladding layer 218 may have a composition similar to that of the sacrificial layers 206 .
  • the cladding layer 218 may be formed of silicon germanium (SiGe). This common composition between the sacrificial layers 206 and the cladding layer 218 allows selective and simultaneous removal of the sacrificial layers 206 and the cladding layer 218 in a subsequent process.
  • the cladding layer 218 may be conformally and epitaxially grown using vapor phase epitaxy (VPE) or molecular bean epitaxy (MBE).
  • VPE vapor phase epitaxy
  • MBE molecular bean epitaxy
  • the cladding layer 218 is selectively disposed on exposed surfaces of the semiconductor liner 214 , but not on the isolation feature 216 , which is formed of a dielectric material.
  • the cladding layer 218 may have a thickness between about 5 nm and about 10 nm. After the deposition of the cladding layer 218 , a portion of the isolation feature 216 is exposed in the trenches 211 , now made narrower by the semiconductor liner 214 and the cladding layer 218 .
  • method 100 includes a block 110 where a first dielectric layer 220 and a second dielectric layer 222 are deposited over the cladding layer, including over the trenches 211 .
  • the first dielectric layer 220 is conformally deposited over the workpiece 200 , including in the trenches 211 , as shown in FIG. 7 .
  • the first dielectric layer 220 may be deposited using PECVD, ALD, or a suitable method.
  • the first dielectric layer 220 lines the sidewalls and the bottom surfaces of the trenches 211 , which is defined by the cladding layer 218 before the operations at block 110 .
  • the first dielectric layer 220 may also be referred to as a dielectric liner 220 or an outer layer 220 .
  • the first dielectric layer 220 is formed to a thickness between about 3 nm and about 6 nm, such as between 4 nm and about 5 nm.
  • a second dielectric layer 222 is then deposited over the first dielectric layer 220 on the workpiece 200 using CVD, SACVD, FCVD, ALD, spin-on coating, and/or other suitable process.
  • the second dielectric layer 222 may also be referred to as a dielectric filler 222 or an inner layer 222 .
  • the first dielectric layer 220 may include silicon, silicon nitride, silicon carbide, silicon carbonitride, silicon oxycarbonitride, or a suitable dielectric material that can be oxidized. In some instances, the first dielectric layer 220 is free of oxygen. In some other instances, the first dielectric layer 220 is at least not fully oxidized. In the depicted embodiment, the first dielectric layer 220 may include silicon carbonitride.
  • the second dielectric layer 222 may include silicon oxide or other dielectric layers that are fully oxidized or are unlikely to be oxidized by an oxidizer. In the depicted embodiment, the second dielectric layer 222 is formed of silicon oxide.
  • method 100 includes a block 112 where the workpiece 200 is planarized after the deposition of the first dielectric layer 220 and the second dielectric layer 222 .
  • the planarization at block 112 may be performed using a chemical mechanical polishing (CMP) process until the cladding layer 218 is exposed, as shown in FIG. 8 .
  • CMP chemical mechanical polishing
  • top surfaces of the top channel layer 208 T, the semiconductor liner 214 , the first dielectric layer 220 , and the second dielectric layer 222 are coplanar.
  • method 100 includes a block 114 where the second dielectric layer 222 is selectively etched to form helmet recesses 221 .
  • the etching process at block 114 is highly selective to the second dielectric layer 222 , which is formed of silicon oxide in the depicted embodiment.
  • the selective etching process at block 114 may be chemical oxide removal process or an atomic layer etch (ALE).
  • ALE atomic layer etch
  • the workpiece 200 including the second dielectric layer 222 , may be treated with ammonia (NH 3 ) and hydrofluoric acid (HF), one at a time, alternatingly.
  • This chemical treatment may produce ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 , which may be removed by an anneal process or a deionized (DI) water washing process.
  • the workpiece 200 is treated with multiple cycles of chemical treatment. Each cycle includes an ammonia treatment for a first duration and a hydrofluoric acid treatment for a second duration.
  • the first duration is shorter than the second duration. In some instances, the first duration is about one half (1 ⁇ 2) of the second duration to ensure satisfactory chemical treatment of the second dielectric layer 222 .
  • the treatment cycle may be repeated between 2 times and 6 times.
  • the example selective etching process is configured to selectively etch the second dielectric layer 222 while maintaining a substantially flat bottom profile. As shown in FIG.
  • method 100 includes a block 116 where the first dielectric layer 220 is trimmed to widen the helmet recesses 221 , thereby forming widened helmet recesses 223 .
  • the trimming process at block 116 is selective to the first dielectric layer 220 , which is formed of an oxidizable dielectric material, such as silicon carbonitride in the depicted embodiment.
  • the selective trimming process at block 116 may be divided into a chemical treatment step and a flushing step.
  • the chemical treatment step may include use of an oxidizer that oxidizes the first dielectric layer 220 but not the second dielectric layer 222 .
  • the flushing step may include use of an acid to remove products of the chemical treatment step.
  • the workpiece 200 may be chemically treated with high temperature sulfuric peroxide mixture (HTSPM) in the chemical treatment step and the workpiece 200 is subject to a flushing step that implements dilute hydrofluoric acid (dHF).
  • HTSPM high temperature sulfuric peroxide mixture
  • dHF dilute hydrofluoric acid
  • the high temperature sulfuric peroxide mixture may oxidize the first dielectric layer 220 and the dilute hydrofluoric acid may remove the oxide.
  • the trimming process at block 116 may also etch the second dielectric layer 222 , the cladding layer 218 and the top channel layer 208 T, albeit at lower rates.
  • the chemical treatment step may be performed for a third duration and the flushing step may be performed for a fourth duration shorter than the third duration.
  • the third duration is about 10 to 15 times of the second fourth to ensure selective trimming of the first dielectric layer 220 and minimize etching of the second dielectric layer 222 .
  • the helmet recesses 221 are widened to form the widened helmet recesses 223 .
  • each of the widened helmet recesses 223 includes a bottom width (WB) and a top width (WT) greater than the bottom width (WB).
  • WB bottom width
  • WT top width
  • each of the widened helmet recesses 223 includes a tapered profile that tapers downward along the Z direction.
  • the bottom width (WB) is between about 10 nm and about 15 nm and the top width (WT) is between about 16 nm and about 20 nm.
  • a ratio of the top width (WT) to the bottom width (WB) may be between about 1.1 and 1.6.
  • this width ratio is smaller than 1.1, the benefits of the additional trimming operation are outweighed by its cost.
  • this width ratio is greater than 1.6, the helmet feature that fills the widened helmet recess 223 may overhang too much to hinder the channel release process or the gate formation process.
  • a cleaning process may be performed after the trimming process.
  • the cleaning process may include use of high temperature sulfuric peroxide mixture (HTSPM).
  • the widened helmet recesses 223 may partially extend downward into the first dielectric layer 220 and the second dielectric layer 222 . Because the trimming process at block 116 is selective to the first dielectric layer 220 , it is observed that the widened helmet recesses 223 extend more into the first dielectric layer 220 than into the second dielectric layer 222 .
  • method 100 includes a block 118 where helmet features 224 are formed in the widened helmet recesses 223 .
  • the helmet features 224 may include aluminum oxide, aluminum nitride, aluminum oxynitride, zirconium oxide, zirconium nitride, zirconium aluminum oxide, hafnium oxide, or a suitable dielectric material.
  • the material of the helmet feature 224 is selected such that it can endure an anisotropic dry etch process better than the other exposed features and layers. Most notably, a dielectric constant of the helmet features 224 is greater than that of the second dielectric layer 222 .
  • a dielectric material for the helmet features 224 is deposited over the workpiece 200 using ALD or CVD and then the workpiece 200 is then planarized using a CMP process to remove excess the dielectric material on the cladding layer 218 , thereby forming the helmet features 224 shown in FIG. 11 .
  • the helmet features 224 track the shapes of the widened helmet recesses 223 .
  • dielectric fins 230 are formed. Each of the dielectric fins 230 includes the first dielectric layer 220 , the second dielectric layer 222 , and the helmet feature 224 .
  • the first dielectric layer 220 and the second dielectric layer 222 constitute a base feature of the dielectric fin 230 and the helmet feature 224 caps the base feature from the top. While the widened helmet recesses 223 facilitate the formation of the helmet features 224 , small and non-elongated void 260 may still be present near top surfaces of the helmet features 224 . As will be described below, the void 260 is likely to be removed by subsequent etching or planarization process, leaving behind substantially void-free, seam-free helmet features 224 . Upon conclusion of the planarization process, a first height H 1 of the helmet features 224 may be between 20 nm and about 40 nm.
  • method 100 includes a block 120 where the top channel layer 208 T, the top sacrificial layer 206 T and a top portion of the cladding layer 218 are recessed.
  • the workpiece 200 is anisotropically etched to selectively remove a top portion of the cladding layer 218 , a top portion of the semiconductor liner 214 , the top channel layer 208 T, and the top sacrificial layer 206 T expose the channel layer 208 .
  • the anisotropic etch at block 120 may be a dry etch process that includes hydrogen, a fluorine-containing gas (e.g., CF 4 , NF 3 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 ), a chlorine-containing gas (e.g., Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3 ), a bromine-containing gas (e.g., HBr and/or CHBR 3 ), an iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof.
  • a fluorine-containing gas e.g., CF 4 , NF 3 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6
  • a chlorine-containing gas e.g., Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3
  • a bromine-containing gas e.g
  • the anisotropic etch at block 120 is mask-less and is self-aligned because the anisotropic etch etches the helmet features 224 at a much slower rate. That said, the anisotropic etch may substantially reduce the height of the helmet features 224 and round the top surfaces of the helmet features 224 , as shown in FIG. 12 .
  • the void 260 is either removed or becomes partially exposed (not explicitly shown).
  • lower portions of the sidewalls of the helmet features 224 may be covered by the first dielectric layer 220 .
  • the greater top width WT of the helmet features 224 helps the helmet features 224 withstand etching at block 120 . With the tapered profile, too much of the helmet features 224 may be consumed at block 120 , leading to merging of source/drain features 240 (to be described below) at block 124 .
  • method 100 includes a block 122 where a dummy gate stack 232 is formed over the fin-shaped structures 212 .
  • a gate replacement process (or gate-last process) is adopted where the dummy gate stack 232 serves as a placeholder for a functional gate structure.
  • Other processes and configuration are possible.
  • the dummy gate stack 232 includes a dummy dielectric layer and a dummy electrode disposed over the dummy dielectric layer.
  • the regions of the fin-shaped structures 212 underlying the dummy gate stack 232 may be referred to as channel regions.
  • Each of the channel regions in a fin-shaped structure 212 is sandwiched along the Y direction between two source/drain regions for source/drain formation.
  • the dummy dielectric layer is blanketly deposited over the workpiece 200 by CVD.
  • a material layer for the dummy electrode is then blanketly deposited over the dummy dielectric layer.
  • the dummy dielectric layer and the material layer for the dummy electrode are then patterned using photolithography processes to form the dummy gate stack 232 .
  • the dummy dielectric layer may include silicon oxide and the dummy electrode may include polycrystalline silicon (polysilicon).
  • the dummy gate stack 232 is disposed over the helmet features 224 and comes in contact with sidewalls and top surfaces of the helmet features 224 .
  • At least one gate spacer 234 is formed along sidewalls of the dummy gate stacks 232 .
  • the at least one gate spacer 234 may include two or more gate spacer layers.
  • Dielectric materials for the at least one gate spacer 234 may be selected to allow selective removal of the dummy gate stack 232 .
  • Suitable dielectric materials for the at least one gate spacer 234 may include silicon nitride, silicon oxycarbonitride, silicon carbonitride, silicon oxide, silicon oxycarbide, silicon carbide, silicon oxynitride, and/or combinations thereof.
  • the at least one gate spacer 234 may be conformally deposited over the workpiece 200 using CVD, subatmospheric CVD (SACVD), or ALD.
  • method 100 includes a block 124 where source/drain features 240 are formed.
  • Operations at block 124 include recessing of the source/drain regions of the fin-shaped structures 212 to form source/drain recesses, formation of inner spacer features, and deposition of source/drain features 240 in the source/drain recesses.
  • the workpiece 200 is anisotropically etched to form the source/drain recesses (not explicitly shown, filled with the source/drain features 240 in FIG. 15 ) over the source/drain regions of the fin-shaped structures 212 .
  • the anisotropic etch at block 120 may include a dry etch process or a suitable etch process.
  • the dry etch process may implement an oxygen-containing gas, hydrogen, a fluorine-containing gas (e.g., CF 4 , SF 6 , NF 3 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 ), a chlorine-containing gas (e.g., Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3 ), a bromine-containing gas (e.g., HBr and/or CHBR 3 ), an iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof.
  • a fluorine-containing gas e.g., CF 4 , SF 6 , NF 3 , CH 2 F 2 , CHF 3 , and/or C 2 F 6
  • a chlorine-containing gas e.g., Cl 2 , CHCl 3 , CCl 4
  • the dry etch process at block 124 may etch the at least one gate spacer 234 , the helmet features 224 , and the first dielectric layer 220 at a slower rate and leave dielectric fins substantially unetched. Sidewalls of the plurality of channel layers 208 , the plurality of the sacrificial layers 206 , and the cladding layer 218 are exposed in the source/drain recess.
  • operations at block 124 also include formation of inner spacer features to interleave the channel layers 208 .
  • the sacrificial layers 206 exposed in the source/drain recesses are first selectively and partially recessed to form inner spacer recesses, while the exposed channel layers 208 are substantially unetched. Because the cladding layer 218 and the sacrificial layers 206 share a similar composition (i.e., SiGe), the cladding layer 218 is also etched at block 124 .
  • the selective and partial recess of the sacrificial layers 206 and the cladding layer 218 may include APM etch (e.g., ammonia hydroxide-hydrogen peroxide-water mixture).
  • APM etch e.g., ammonia hydroxide-hydrogen peroxide-water mixture.
  • the inner spacer material may include silicon nitride, silicon oxycarbonitride, silicon carbonitride, silicon oxide, silicon oxycarbide, silicon carbide, or silico oxynitride. After the deposition of the inner spacer material layer, the inner spacer material layer is etched back to form inner spacer features.
  • Operations at block 124 also includes deposition of source/drain features 240 in the source/drain recesses.
  • the source/drain features 240 may be selectively and epitaxially deposited on the exposed semiconductor surfaces of the channel layers 208 and the substrate 202 .
  • the source/drain features 240 may be deposited using an epitaxial process, such as vapor-phase epitaxy (VPE), ultra-high vacuum CVD (UHV-CVD), molecular beam epitaxy (MBE), and/or other suitable processes.
  • VPE vapor-phase epitaxy
  • UHV-CVD ultra-high vacuum CVD
  • MBE molecular beam epitaxy
  • the source/drain features 240 may be either n-type or p-type.
  • the source/drain features 240 When the source/drain features 240 are n-type, it may include silicon (Si) and may be doped with an n-type dopant, such as phosphorus (P) or arsenic (As). When the source/drain features 240 are p-type, it may include silicon germanium (SiGe) or germanium (Ge) and may be doped with a p-type dopant, such as boron (B) or boron difluoride (BF 2 ). Doping of the source/drain features 240 may be performed either in situ with their deposition or ex situ using an implantation process, such as a junction implant process.
  • an implantation process such as a junction implant process.
  • the source/drain features 240 may include multiple epitaxial layers with different doping concentrations. As shown in FIG. 15 , the dielectric fins 230 serves as dividers of source/drain features in adjacent source/drain recesses. When the dielectric fins 230 are not formed or are not tall or wide enough, adjacent source/drain features 240 may merge, causing undesirable shorts.
  • method 100 includes a block 126 where the dummy gate stack 232 are removed.
  • Operations at block 126 include deposition of a contact etch stop layer (CESL) and an interlayer dielectric (ILD) layer and removal of the dummy gate stack 232 .
  • the CESL and ILD are deposited over the source/drain features 240 to protect the same from subsequent processes.
  • the CESL may include silicon nitride and may be deposited on the source/drain features 240 using ALD or CVD.
  • the ILD layer includes materials such as tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials.
  • TEOS tetraethylorthosilicate
  • BPSG borophosphosilicate glass
  • FSG fused silica glass
  • PSG phosphosilicate glass
  • BSG boron doped silicon glass
  • the ILD layer may be deposited on the CESL by spin-on coating, an FCVD process, or other suitable deposition technique.
  • a planarization process such a chemical mechanical polishing (CMP) process
  • CMP chemical mechanical polishing
  • the exposed dummy gate stack 232 is then removed from the workpiece 200 by a selective etch process.
  • the selective etch process may be a selective wet etch process, a selective dry etch process, or a combination thereof.
  • the selective etch process selectively removes the dummy dielectric layer and the dummy electrode without substantially damaging the helmet features 224 , the at least one gate spacer 234 and the first dielectric layer 220 .
  • the removal of the dummy gate stack 232 results in a gate trenche over the channel regions.
  • the gate trenches are defined by the at least one gate spacer 234 .
  • method 100 includes a block 128 where the sacrificial layers 206 in the channel region are removed to release channel members 2080 .
  • channel layers 208 , sacrificial layers 206 , and the cladding layer 218 in the channel region are exposed in the gate trenches. Due to their similar composition, the exposed sacrificial layers 206 between the channel layers 208 and the cladding layer 218 may be selectively removed to release the channel layers 208 to form channel members 2080 , shown in FIG. 16 .
  • the channel members 2080 are vertically stacked along the Z direction.
  • the selective removal of the sacrificial layers 206 and the cladding layer 218 may be implemented by selective dry etch, selective wet etch, or other selective etch processes.
  • the selective wet etching includes an APM etch (e.g., ammonia hydroxide-hydrogen peroxide-water mixture).
  • the selective removal includes silicon germanium oxidation followed by a silicon germanium oxide removal.
  • the oxidation may be provided by ozone clean and then silicon germanium oxide removed by an etchant such as NH 4 OH.
  • the first dielectric layer 220 , the channel members 2080 , the top surface of the base portion 212 B, and the isolation feature 216 are exposed in the gate trenches.
  • the tapered profile of the helmet feature 224 ensures that the helmet feature 224 and the adjacent channel layer 208 do not pinch off or restrict the access to lower sacrificial layer 206 .
  • method 100 includes a block 130 where a gate structure 250 is formed to wrap around each of the channel members 2080 .
  • the gate structure layers may include an interfacial layer on the channel members 2080 and the substrate 202 , a gate dielectric layer over the interfacial layer, and a gate electrode layer over the gate dielectric layer.
  • the interfacial layer includes silicon oxide and may be formed as result of a pre-clean process.
  • An example pre-clean process may include use of RCA SC-1 (ammonia, hydrogen peroxide and water) and/or RCA SC-2 (hydrochloric acid, hydrogen peroxide and water).
  • the pre-clean process oxidizes the exposed surfaces of the channel members 2080 and the substrate 202 to form the interfacial layer.
  • the gate dielectric layer is then deposited over the interfacial layer using ALD, CVD, and/or other suitable methods.
  • the gate dielectric layer may include high-K dielectric materials.
  • high-k dielectric materials include dielectric materials having a high dielectric constant, for example, greater than that of thermal silicon oxide ( ⁇ 3.9).
  • the gate dielectric layer may include hafnium oxide.
  • the gate dielectric layer may include other high-K dielectrics, such as titanium oxide (TiO 2 ), hafnium zirconium oxide (HfZrO), tantalum oxide (Ta 2 O 5 ), hafnium silicon oxide (HfSiO 4 ), zirconium oxide (ZrO 2 ), zirconium silicon oxide (ZrSiO 2 ), lanthanum oxide (La 2 O 3 ), aluminum oxide (Al 2 O 3 ), zirconium oxide (ZrO), yttrium oxide (Y 2 O 3 ), SrTiO 3 (STO), BaTiO 3 (BTO), BaZrO, hafnium lanthanum oxide (HfLaO), lanthanum silicon oxide (LaSiO), aluminum silicon oxide (AlSiO), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), (Ba,Sr)TiO 3 (BST), silicon nitride (Ti
  • the gate electrode layer may be a multi-layer structure that includes at least one work function layer and a metal fill layer.
  • the at least one work function layer may include titanium nitride (TiN), titanium aluminum (TiAl), titanium aluminum nitride (TiAlN), tantalum nitride (TaN), tantalum aluminum (TaAl), tantalum aluminum nitride (TaAlN), tantalum aluminum carbide (TaAlC), tantalum carbonitride (TaCN), or tantalum carbide (TaC).
  • the metal fill layer may include aluminum (Al), tungsten (W), nickel (Ni), titanium (Ti), ruthenium (Ru), cobalt (Co), platinum (Pt), tantalum silicon nitride (TaSiN), copper (Cu), other refractory metals, or other suitable metal materials or a combination thereof.
  • the gate electrode layer may be formed by ALD, PVD, CVD, e-beam evaporation, or other suitable process.
  • the tapered profile of the helmet feature 224 ensures that the helmet feature 224 and the adjacent channel layer 208 do not pinch off or restrict the access to lower channel members 2080 .
  • a planarization process such as a CMP process, may be performed to remove excessive materials to provide a substantially planar top surface of the gate structures.
  • the deposited gate structure 250 layers wrap around each of the channel members 2080 and come in contact with the dielectric fins 230 . More specifically, the gate structure 250 directly contact the first dielectric layer 220 and the helmet features 224 . The second dielectric layer 222 is spaced apart from the gate structure 250 by the first dielectric layer 220 .
  • the workpiece 200 is planarized until the gate structure 250 is divided by the dielectric fins into segments.
  • Each of the dielectric fins 230 includes the first dielectric layer 220 and the second dielectric layer 222 as a bottom portion and the helmet feature 224 as a top portion.
  • the helmet feature 224 includes a second height H 2
  • the bottom portion includes a third height H 3
  • the entire dielectric fin 230 includes a fourth height H 4 .
  • the second height H 2 may be between about 10 nm and 30 nm
  • the third height H 3 may be between about 30 nm and about 70 nm
  • the fourth height H 4 may be between about 40 nm and about 100 nm.
  • a ratio of the second height H 2 to the third height H 3 may be between about 0.3 and about 1. This ratio is not trivial because it ensures that helmet features 224 have sufficient thickness to withstand the etching processes but do not come directly between two adjacent source/drain features 240 .
  • FIG. 18 is an enlarged fragmentary cross-sectional view of a helmet feature 224 in FIG. 17 .
  • the helmet feature 224 in FIG. 18 includes the bottom width WB and a final top width W greater than the bottom width WB, where the final top width W is smaller than the top width WT.
  • the helmet feature 224 still has a tapered profile.
  • the bottom width WB is between about 10 nm and about 15 nm while the final top width W is between about 15.5 and 18 nm.
  • the helmet feature 224 in FIG. 18 also has a second height H 2 smaller than the first height H 1 .
  • the second height H 2 may be between about 10 nm and about 30 nm.
  • the helmet feature 224 extends partially into the first dielectric layer 220 and the second dielectric layer 222 along the Z direction. Because of the trimming process at block 116 , the helmet feature 224 extends further into the first dielectric layer 220 than into the second dielectric layer 222 .
  • the second dielectric layer 222 has a lower dielectric constant than the first dielectric layer 220 and serves to reduce the parasitic capacitance.
  • the helmet feature 224 is formed of metal oxide and may have a dielectric constant even greater than that of the first dielectric layer 220 .
  • the helmet feature 224 does not overly extend into the second dielectric layer 222 , thereby preventing undesirable increase of parasitic capacitance.
  • the void 260 may be removed.
  • the taper profile of the widened helmet recess 223 prevents formation of an elongated seam-like void.
  • the elongated seam-like void may compromise the integrity of the helmet feature 224 during various etching or planarization processes.
  • the present disclosure offers advantages over conventional processes. It is understood, however, that other embodiments may offer additional advantages, and not all advantages are necessarily disclosed herein, and that no particular advantage is required for all embodiments.
  • the processes disclosed in the present disclosure form widened helmet recesses and helmet features that taper downward.
  • the wider top width of the helmet features facilitates patterning of source/drain features and the narrow bottom width enlarges process windows for channel release and gate formation.
  • the taper profile prevents formation of seam-like voids in the helmet features.
  • the present disclosure is directed to a method.
  • the method includes forming a stack of epitaxial layers over a substrate, forming a first fin-like structure and a second fin-like structure from the stack and a portion of the substrate, forming an isolation feature between the first fin-like structure and the second fin-like structure, forming a cladding layer over the first fin-like structure and the second fin-like structure, conformally depositing a first dielectric layer over the cladding layer, depositing a second dielectric layer over the first dielectric layer, planarizing the first dielectric layer and the second dielectric layer until the cladding layer are exposed, performing an etch process to etch the second dielectric layer to form a helmet recess, performing a trimming process to trim the first dielectric layer to widen the helmet recess, and depositing a helmet feature in the widened helmet recess.
  • the cladding layer includes silicon germanium
  • the first dielectric layer includes silicon carbonitride, silicon carbide, or silicon nitride
  • the second dielectric layer includes silicon oxide.
  • the etch process includes a plurality cycles of chemical oxide removal.
  • the etch process includes use of ammonia and hydrofluoric acid.
  • the trimming process includes a chemical treatment step comprising use of an oxidizer, and a flushing step comprising use of an acid.
  • the oxidizer includes a high temperature sulfuric peroxide mixture and the acid includes dilute hydrofluoric acid.
  • the chemical treatment step lasts a first duration
  • the flushing step lasts a second duration shorter than the first duration
  • a ratio of the first duration and the second duration is between about 10 and 15.
  • the method may further include after the trimming process, performing a cleaning process.
  • the cleaning process includes use of a high temperature sulfuric peroxide mixture.
  • the present disclosure is directed to a method.
  • the method includes receiving a workpiece that includes a first fin-like structure and a second fin-like structure disposed over a substrate, an isolation feature between the first fin-like structure and the second fin-like structure, a cladding layer disposed over the isolation feature and extending along sidewalls of the first fin-like structure and the second fin-like structure, a conformal dielectric layer in contact with the cladding layer and the isolation feature, and a filler dielectric layer over the conformal dielectric layer and spaced apart from the first fin-like structure, the second fin-like structure and the isolation feature by the conformal dielectric layer.
  • the method further includes performing a etch process to etch the filler dielectric layer to form a helmet recess, performing a trimming process to trim the conformal dielectric layer to widen the helmet recess, and depositing a helmet feature in the widened helmet recess.
  • top surfaces of the first fin-like structure, the second fin-like structure, the cladding layer, the conformal dielectric layer, and the filler dielectric layer are coplanar.
  • the filler dielectric layer includes silicon oxide and the conformal dielectric layer is substantially free of oxygen.
  • the conformal dielectric layer includes silicon carbonitride.
  • the helmet feature includes aluminum oxide, hafnium oxide, zirconium oxide, or zinc oxide.
  • the widened helmet recess includes a bottom width and a top width greater than the bottom width such that the widened helmet recess includes a tapered profile.
  • the present disclosure is directed to a semiconductor structure.
  • the semiconductor structure includes a first dielectric fin and a second dielectric fin, a plurality of channel members disposed between the first dielectric fin and the second dielectric fin, and a gate structure disposed between the first dielectric fin and the second dielectric fin and wrapping around each of the plurality of channel members.
  • Each of the first dielectric fin and the second dielectric fin includes a base feature and a helmet feature over the base feature.
  • the helmet feature includes a bottom width and a top width greater than the bottom width such that the helmet feature includes a tapered profile.
  • the bottom width is between about 10 nm and about 15 nm and the top width is between about 15.5 nm and about 18 nm.
  • the base feature includes an outer layer in contact with the gate structure and an inner layer spaced apart from the gate structure by the outer layer and the helmet feature partially extends into the outer layer by a first depth and partially extends into the inner layer by a second depth smaller than the first depth.
  • the inner layer includes silicon oxide and the outer layer is substantially free of oxygen.
  • the outer layer includes silicon carbonitride.

Abstract

Semiconductor structures and methods of forming the same are provided. A method according to the present disclosure includes forming a stack of epitaxial layers over a substrate, forming a first fin-like structure and a second fin-like structure from the stack, forming an isolation feature between the first fin-like structure and the second fin-like structure, forming a cladding layer over the first fin-like structure and the second fin-like structure, conformally depositing a first dielectric layer over the cladding layer, depositing a second dielectric layer over the first dielectric layer, planarizing the first dielectric layer and the second dielectric layer until the cladding layer are exposed, performing an etch process to etch the second dielectric layer to form a helmet recess, performing a trimming process to trim the first dielectric layer to widen the helmet recess, and depositing a helmet feature in the widened helmet recess.

Description

    BACKGROUND
  • The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs.
  • For example, as integrated circuit (IC) technologies progress towards smaller technology nodes, multi-gate devices have been introduced to improve gate control by increasing gate-channel coupling, reducing off-state current, and reducing short-channel effects (SCEs). A multi-gate device generally refers to a device having a gate structure, or portion thereof, disposed over more than one side of a channel region. Fin-like field effect transistors (FinFETs) and multi-bridge-channel (MBC) transistors are examples of multi-gate devices that have become popular and promising candidates for high performance and low leakage applications. A FinFET has an elevated channel wrapped by a gate on more than one side (for example, the gate wraps a top and sidewalls of a “fin” of semiconductor material extending from a substrate). An MBC transistor has a gate structure that can extend, partially or fully, around a channel region to provide access to the channel region on two or more sides. Because its gate structure surrounds the channel regions, an MBC transistor may also be referred to as a surrounding gate transistor (SGT) or a gate-all-around (GAA) transistor. The channel region of an MBC transistor may be formed from nanowires, nanosheets, or other nanostructures and for that reasons, an MBC transistor may also be referred to as a nanowire transistor or a nanosheet transistor.
  • Dielectric isolation structures are used to isolate IC device features that would otherwise come in contact with one another. For example, dielectric fins are used to isolate source/drain features that are epitaxially grown from channel members of multi-gate devices, such as MBC transistors. Without dielectric fins, adjacent source/drain features may merge, resulting in undesirable electrical connections. While existing dielectric isolation structures are adequate for their intended purposes, they are not satisfactory for all purposes.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 illustrates a flowchart of a method for forming a semiconductor structure, according to one or more aspects of the present disclosure.
  • FIGS. 2-17 illustrate fragmentary cross-sectional views of a workpiece during various fabrication stages in the method of FIG. 1 , according to one or more aspects of the present disclosure.
  • FIG. 18 illustrates an enlarged fragmentary cross-sectional view of a helmet feature, according to one or more aspects of the present disclosure.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Further, when a number or a range of numbers is described with “about,” “approximate,” and the like, the term is intended to encompass numbers that are within a reasonable range considering variations that inherently arise during manufacturing as understood by one of ordinary skill in the art. For example, the number or range of numbers encompasses a reasonable range including the number described, such as within +/−10% of the number described, based on known manufacturing tolerances associated with manufacturing a feature having a characteristic associated with the number. For example, a material layer having a thickness of “about 5 nm” can encompass a dimension range from 4.25 nm to 5.75 nm where manufacturing tolerances associated with depositing the material layer are known to be +/−15% by one of ordinary skill in the art. Still further, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • The present disclosure is generally related to dielectric isolation structures and more particularly to dielectric isolation structures between adjacent source/drain features.
  • Dielectric fins or hybrid fins are implemented in fabrication of MBC transistors to serve several functions. During source/drain feature formation, they function to prevent epitaxial growth from merging with one another, causing undesirable shorts. After gate formation, they may serve as a gate cut feature or a part of a gate cut feature to separate a gate structure into multiple segments. In some instances, a dielectric fin includes a base feature and a helmet feature over the base feature. Compared to the helmet feature, the base feature has a lower dielectric constant than the helmet feature to reduce undesirable parasitic capacitance between adjacent gate structures. The helmet feature is more etch-resistant than the base feature and serves as a capping layer of the base feature. The present disclosure provides a dielectric fin with a helmet feature that facilitates source/drain feature patterning and gate formation. In some embodiments, the helmet feature of the present disclosure includes a bottom width and a top width greater than the bottom width. The larger top width of this tapered profile facilitates the source/drain feature patterning and the smaller bottom width creates greater access to the gate trench. Additionally, the present disclosure provides a multi-step process to form the helmet recess. The result is that the helmet feature does not overly extend downwards into the low-k base feature to increase the parasitic capacitance.
  • The various aspects of the present disclosure will now be described in more detail with reference to the figures. FIG. 1 illustrate a flowchart of a method 100 of forming a semiconductor device. Method 100 is merely an example and is not intended to limit the present disclosure to what is explicitly illustrated in method 100. Additional steps may be provided before, during and after method 100, and some steps described can be replaced, eliminated, or moved around for additional embodiments of the methods. Not all steps are described herein in detail for reasons of simplicity. Method 100 is described below in conjunction with FIGS. 2-17 , which illustrate fragmentary cross-sectional views of a workpiece 200 at different stages of fabrication according to embodiments of method 100. Because a semiconductor device or a semiconductor structure will be formed from the workpiece 200, the workpiece 200 may be referred to as a semiconductor device 200 or a semiconductor structure 200 as the context requires. Throughout FIG. 2-17 , the X direction, the Y direction, and the Z direction are perpendicular to one another and are used consistently. For example, the X direction in one figure is parallel to the X direction in a different figure. Additionally, throughout the present disclosure, like reference numerals are used to denote like features.
  • Referring to FIGS. 1 and 2 , method 100 includes a block 102 where a workpiece 200 is received. As shown in FIG. 2 , the workpiece 200 includes a substrate 202 and a stack 204 disposed on the substrate 202. In one embodiment, the substrate 202 may be a silicon (Si) substrate. In some other embodiments, the substrate 202 may include other semiconductor materials such as germanium (Ge), silicon germanium (SiGe), or a III-V semiconductor material. Example III-V semiconductor materials may include gallium arsenide (GaAs), indium phosphide (InP), gallium phosphide (GaP), gallium nitride (GaN), gallium arsenide phosphide (GaAsP), aluminum indium arsenide (AlInAs), aluminum gallium arsenide (AlGaAs), gallium indium phosphide (GaInP), and indium gallium arsenide (InGaAs). The substrate 202 may also include an insulating layer, such as a silicon oxide layer, to have a silicon-on-insulator (50I) structure or a germanium-on-insulator (GeOI) structure. In some embodiments, the substrate 202 may include one or more well regions, such as n-type well regions doped with an n-type dopant (i.e., phosphorus (P) or arsenic (As)) or p-type well regions doped with a p-type dopant (i.e., boron (B)), for forming different types of devices. The doping the n-type wells and the p-type wells may be formed using ion implantation or thermal diffusion.
  • Referring still to FIG. 2 , the stack 204 may include a plurality of channel layers 208 interleaved by a plurality of sacrificial layers 206. The channel layers 208 and the sacrificial layers 206 may have different semiconductor compositions. In some implementations, the channel layers 208 are formed of silicon (Si) and sacrificial layers 206 are formed of silicon germanium (SiGe). In these implementations, the additional germanium content in the sacrificial layers 206 allow selective removal or recess of the sacrificial layers 206 without substantial damages to the channel layers 208. In some embodiments, the sacrificial layers 206 and channel layers 208 may be deposited using an epitaxial process. The stack 204 may be epitaxially deposited using CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy (MBE), and/or other suitable processes. The sacrificial layers 206 and the channel layers 208 are deposited alternatingly, one-after-another, to form the stack 204. In the depicted embodiment, the stack 204 may further include a top channel layer 208T and a top sacrificial layer 206T that collectively serve as hard mask to protect the rest of the stack 204 below and may be completely removed in a subsequent process. When the top channel layer 208T and the top sacrificial layer 206T are discounted, the stack 204 shown in FIG. 2 includes three (3) layers of the sacrificial layers 206 and three (3) layers, which is for illustrative purposes only and not intended to be limiting beyond what is specifically recited in the claims. The number of layers in the stack 204 depends on the desired number of channels members for the semiconductor device 200. In some embodiments, the number of the channel layers 208 (the top channel layer 208T excluded) is between 2 and 10.
  • Referring to FIGS. FIGS. 1, 3 and 4 , method 100 includes a block 104 where fin-shaped structure 212 are formed. In some embodiments, at block 104, the stack 204 and a portion of the substrate 202 are patterned to form the fin-shaped structures 212 that are defined by trenches 211. As shown in FIG. 3 , each of the fin-shaped structures 212 includes a base portion 212B formed from a portion of the substrate 202 and a top portion 212T formed from the stack 204. The top portion 212T is disposed over the base portion 212B. The fin-shaped structures 212 extend lengthwise along the Y direction and extend vertically along the Z direction from the substrate 202. The fin-shaped structures 212 may be patterned using suitable processes including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a hard mask layer is first deposited over the stack 204 and then a material layer is formed over the hard mask. The material layer is patterned using a photolithography process. Spacers are formed alongside the patterned material layer using a self-aligned process. The material layer is then removed, and the remaining spacers, or mandrels, may then be used to pattern the hard mask layer and then the patterned hard mask layer may be used to pattern the fin-shaped structures 212 by etching the stack 204 and the substrate 202. The etching process can include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes. In some embodiments, a semiconductor liner 214 may be deposited over the fin-shaped structure 212, as shown in FIG. 4 . The semiconductor liner 214 may include silicon (Si) or silicon-rich silicon germanium (SiGe). In some implementations, the semiconductor liner 214 may be deposited using ALD, PEALD, VPE, MBE, or a suitable method.
  • Referring to FIGS. 1 and 5 , method 100 includes a block 106 where an isolation feature 216 is formed. After the fin-shaped structures 212 are formed, the isolation feature 216 shown in FIG. 5 is formed between neighboring fin-shaped structures 212. The isolation feature 216 may also be referred to as a shallow trench isolation (STI) feature 216. In an example process, a dielectric material for the isolation feature 216 is first deposited over the semiconductor liner 214 over the workpiece 200, filling the trenches 211 between fin-shaped structures 212 with the dielectric material. In some embodiments, the dielectric material may include silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), a low-k dielectric, combinations thereof, and/or other suitable materials. In various examples, the dielectric material may be deposited by a CVD process, a flowable CVD (FCVD) process, spin-on coating, and/or other suitable process. The deposited dielectric material is then thinned and planarized, for example by a chemical mechanical polishing (CMP) process, until at least a portion of the semiconductor liner 214 is exposed. The planarized dielectric material is further recessed by a dry etching process, a wet etching process, and/or a combination thereof to form the isolation feature 216. As shown in FIG. 5 , the top portions 212T of the fin-shaped structures 212 rise above the isolation feature 216 while the base portions 212B are surrounded by the isolation feature 216.
  • Referring to FIGS. 1 and 6 , method 100 includes a block 108 where a cladding layer 218 is formed over the fin-shaped structures 212. In some embodiments, the cladding layer 218 may have a composition similar to that of the sacrificial layers 206. In one example, the cladding layer 218 may be formed of silicon germanium (SiGe). This common composition between the sacrificial layers 206 and the cladding layer 218 allows selective and simultaneous removal of the sacrificial layers 206 and the cladding layer 218 in a subsequent process. In some embodiments, the cladding layer 218 may be conformally and epitaxially grown using vapor phase epitaxy (VPE) or molecular bean epitaxy (MBE). As shown in FIG. 6 , the cladding layer 218 is selectively disposed on exposed surfaces of the semiconductor liner 214, but not on the isolation feature 216, which is formed of a dielectric material. In some instances, the cladding layer 218 may have a thickness between about 5 nm and about 10 nm. After the deposition of the cladding layer 218, a portion of the isolation feature 216 is exposed in the trenches 211, now made narrower by the semiconductor liner 214 and the cladding layer 218.
  • Referring to FIGS. 1 and 7 , method 100 includes a block 110 where a first dielectric layer 220 and a second dielectric layer 222 are deposited over the cladding layer, including over the trenches 211. In an example process, the first dielectric layer 220 is conformally deposited over the workpiece 200, including in the trenches 211, as shown in FIG. 7 . The first dielectric layer 220 may be deposited using PECVD, ALD, or a suitable method. The first dielectric layer 220 lines the sidewalls and the bottom surfaces of the trenches 211, which is defined by the cladding layer 218 before the operations at block 110. The first dielectric layer 220 may also be referred to as a dielectric liner 220 or an outer layer 220. In some embodiments, the first dielectric layer 220 is formed to a thickness between about 3 nm and about 6 nm, such as between 4 nm and about 5 nm. A second dielectric layer 222 is then deposited over the first dielectric layer 220 on the workpiece 200 using CVD, SACVD, FCVD, ALD, spin-on coating, and/or other suitable process. The second dielectric layer 222 may also be referred to as a dielectric filler 222 or an inner layer 222. The first dielectric layer 220 may include silicon, silicon nitride, silicon carbide, silicon carbonitride, silicon oxycarbonitride, or a suitable dielectric material that can be oxidized. In some instances, the first dielectric layer 220 is free of oxygen. In some other instances, the first dielectric layer 220 is at least not fully oxidized. In the depicted embodiment, the first dielectric layer 220 may include silicon carbonitride. The second dielectric layer 222 may include silicon oxide or other dielectric layers that are fully oxidized or are unlikely to be oxidized by an oxidizer. In the depicted embodiment, the second dielectric layer 222 is formed of silicon oxide.
  • Referring to FIGS. 1 and 8 , method 100 includes a block 112 where the workpiece 200 is planarized after the deposition of the first dielectric layer 220 and the second dielectric layer 222. The planarization at block 112 may be performed using a chemical mechanical polishing (CMP) process until the cladding layer 218 is exposed, as shown in FIG. 8 . As shown in FIG. 8 , top surfaces of the top channel layer 208T, the semiconductor liner 214, the first dielectric layer 220, and the second dielectric layer 222 are coplanar.
  • Referring to FIGS. 1 and 9 , method 100 includes a block 114 where the second dielectric layer 222 is selectively etched to form helmet recesses 221. The etching process at block 114 is highly selective to the second dielectric layer 222, which is formed of silicon oxide in the depicted embodiment. In some embodiments, the selective etching process at block 114 may be chemical oxide removal process or an atomic layer etch (ALE). For example, the workpiece 200, including the second dielectric layer 222, may be treated with ammonia (NH3) and hydrofluoric acid (HF), one at a time, alternatingly. This chemical treatment may produce ammonium hexafluorosilicate (NH4)2SiF6, which may be removed by an anneal process or a deionized (DI) water washing process. In an example process, the workpiece 200 is treated with multiple cycles of chemical treatment. Each cycle includes an ammonia treatment for a first duration and a hydrofluoric acid treatment for a second duration. The first duration is shorter than the second duration. In some instances, the first duration is about one half (½) of the second duration to ensure satisfactory chemical treatment of the second dielectric layer 222. The treatment cycle may be repeated between 2 times and 6 times. The example selective etching process is configured to selectively etch the second dielectric layer 222 while maintaining a substantially flat bottom profile. As shown in FIG. 9 , because the etching process at block 114 is highly selective to the second dielectric layer 222, the top channel layer 208T, the cladding layer 218, and the first dielectric 220 are substantially unetched. At conclusion of operations at block 114, helmet recesses 221 are formed.
  • Referring to FIGS. 1 and 10 , method 100 includes a block 116 where the first dielectric layer 220 is trimmed to widen the helmet recesses 221, thereby forming widened helmet recesses 223. The trimming process at block 116 is selective to the first dielectric layer 220, which is formed of an oxidizable dielectric material, such as silicon carbonitride in the depicted embodiment. In some embodiments, the selective trimming process at block 116 may be divided into a chemical treatment step and a flushing step. The chemical treatment step may include use of an oxidizer that oxidizes the first dielectric layer 220 but not the second dielectric layer 222. The flushing step may include use of an acid to remove products of the chemical treatment step. For example, at block 116, the workpiece 200, including the first dielectric layer 220, may be chemically treated with high temperature sulfuric peroxide mixture (HTSPM) in the chemical treatment step and the workpiece 200 is subject to a flushing step that implements dilute hydrofluoric acid (dHF). The high temperature sulfuric peroxide mixture may oxidize the first dielectric layer 220 and the dilute hydrofluoric acid may remove the oxide. It noted that the trimming process at block 116 may also etch the second dielectric layer 222, the cladding layer 218 and the top channel layer 208T, albeit at lower rates. In some implementations, the chemical treatment step may be performed for a third duration and the flushing step may be performed for a fourth duration shorter than the third duration. In some instances, the third duration is about 10 to 15 times of the second fourth to ensure selective trimming of the first dielectric layer 220 and minimize etching of the second dielectric layer 222. As shown in FIG. 10 , because the trimming process at block 116 is selective to the first dielectric layer 220, the helmet recesses 221 are widened to form the widened helmet recesses 223. In some embodiments represented in FIG. 10 , each of the widened helmet recesses 223 includes a bottom width (WB) and a top width (WT) greater than the bottom width (WB). As a result, each of the widened helmet recesses 223 includes a tapered profile that tapers downward along the Z direction. In some instances, the bottom width (WB) is between about 10 nm and about 15 nm and the top width (WT) is between about 16 nm and about 20 nm. In other words, due to the trimming at block 116, a ratio of the top width (WT) to the bottom width (WB) may be between about 1.1 and 1.6. When this width ratio is smaller than 1.1, the benefits of the additional trimming operation are outweighed by its cost. When this width ratio is greater than 1.6, the helmet feature that fills the widened helmet recess 223 may overhang too much to hinder the channel release process or the gate formation process. In some embodiments, a cleaning process may be performed after the trimming process. The cleaning process may include use of high temperature sulfuric peroxide mixture (HTSPM).
  • Reference is still made to FIG. 10 . The widened helmet recesses 223 may partially extend downward into the first dielectric layer 220 and the second dielectric layer 222. Because the trimming process at block 116 is selective to the first dielectric layer 220, it is observed that the widened helmet recesses 223 extend more into the first dielectric layer 220 than into the second dielectric layer 222.
  • Referring to FIGS. 1 and 11 , method 100 includes a block 118 where helmet features 224 are formed in the widened helmet recesses 223. The helmet features 224 may include aluminum oxide, aluminum nitride, aluminum oxynitride, zirconium oxide, zirconium nitride, zirconium aluminum oxide, hafnium oxide, or a suitable dielectric material. The material of the helmet feature 224 is selected such that it can endure an anisotropic dry etch process better than the other exposed features and layers. Most notably, a dielectric constant of the helmet features 224 is greater than that of the second dielectric layer 222. In an example process, a dielectric material for the helmet features 224 is deposited over the workpiece 200 using ALD or CVD and then the workpiece 200 is then planarized using a CMP process to remove excess the dielectric material on the cladding layer 218, thereby forming the helmet features 224 shown in FIG. 11 . The helmet features 224 track the shapes of the widened helmet recesses 223. At conclusion of the operations at block 118, dielectric fins 230 are formed. Each of the dielectric fins 230 includes the first dielectric layer 220, the second dielectric layer 222, and the helmet feature 224. The first dielectric layer 220 and the second dielectric layer 222 constitute a base feature of the dielectric fin 230 and the helmet feature 224 caps the base feature from the top. While the widened helmet recesses 223 facilitate the formation of the helmet features 224, small and non-elongated void 260 may still be present near top surfaces of the helmet features 224. As will be described below, the void 260 is likely to be removed by subsequent etching or planarization process, leaving behind substantially void-free, seam-free helmet features 224. Upon conclusion of the planarization process, a first height H1 of the helmet features 224 may be between 20 nm and about 40 nm.
  • Referring to FIGS. 1 and 12 , method 100 includes a block 120 where the top channel layer 208T, the top sacrificial layer 206T and a top portion of the cladding layer 218 are recessed. In an example process, the workpiece 200 is anisotropically etched to selectively remove a top portion of the cladding layer 218, a top portion of the semiconductor liner 214, the top channel layer 208T, and the top sacrificial layer 206T expose the channel layer 208. The anisotropic etch at block 120 may be a dry etch process that includes hydrogen, a fluorine-containing gas (e.g., CF4, NF3, SF6, CH2F2, CHF3, and/or C2F6), a chlorine-containing gas (e.g., Cl2, CHCl3, CCl4, and/or BCl3), a bromine-containing gas (e.g., HBr and/or CHBR3), an iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof. It is noted that the anisotropic etch at block 120 is mask-less and is self-aligned because the anisotropic etch etches the helmet features 224 at a much slower rate. That said, the anisotropic etch may substantially reduce the height of the helmet features 224 and round the top surfaces of the helmet features 224, as shown in FIG. 12 . At this stage, the void 260 is either removed or becomes partially exposed (not explicitly shown). It is also noted that lower portions of the sidewalls of the helmet features 224 may be covered by the first dielectric layer 220. The greater top width WT of the helmet features 224 helps the helmet features 224 withstand etching at block 120. With the tapered profile, too much of the helmet features 224 may be consumed at block 120, leading to merging of source/drain features 240 (to be described below) at block 124.
  • Referring to FIGS. 1, 13 and 14 , method 100 includes a block 122 where a dummy gate stack 232 is formed over the fin-shaped structures 212. In some embodiments, a gate replacement process (or gate-last process) is adopted where the dummy gate stack 232 serves as a placeholder for a functional gate structure. Other processes and configuration are possible. Although not explicitly shown in FIG. 13 , the dummy gate stack 232 includes a dummy dielectric layer and a dummy electrode disposed over the dummy dielectric layer. The regions of the fin-shaped structures 212 underlying the dummy gate stack 232 may be referred to as channel regions. Each of the channel regions in a fin-shaped structure 212 is sandwiched along the Y direction between two source/drain regions for source/drain formation. In an example process, the dummy dielectric layer is blanketly deposited over the workpiece 200 by CVD. A material layer for the dummy electrode is then blanketly deposited over the dummy dielectric layer. The dummy dielectric layer and the material layer for the dummy electrode are then patterned using photolithography processes to form the dummy gate stack 232. In some embodiments, the dummy dielectric layer may include silicon oxide and the dummy electrode may include polycrystalline silicon (polysilicon). As shown in FIG. 13 , the dummy gate stack 232 is disposed over the helmet features 224 and comes in contact with sidewalls and top surfaces of the helmet features 224.
  • Reference is now made to FIG. 14 . at least one gate spacer 234 is formed along sidewalls of the dummy gate stacks 232. The at least one gate spacer 234 may include two or more gate spacer layers. Dielectric materials for the at least one gate spacer 234 may be selected to allow selective removal of the dummy gate stack 232. Suitable dielectric materials for the at least one gate spacer 234 may include silicon nitride, silicon oxycarbonitride, silicon carbonitride, silicon oxide, silicon oxycarbide, silicon carbide, silicon oxynitride, and/or combinations thereof. In an example process, the at least one gate spacer 234 may be conformally deposited over the workpiece 200 using CVD, subatmospheric CVD (SACVD), or ALD.
  • Referring to FIGS. 1 and 15 , method 100 includes a block 124 where source/drain features 240 are formed. Operations at block 124 include recessing of the source/drain regions of the fin-shaped structures 212 to form source/drain recesses, formation of inner spacer features, and deposition of source/drain features 240 in the source/drain recesses. With the dummy gate stack 232 and the at least one gate spacer 234 serving as an etch mask, the workpiece 200 is anisotropically etched to form the source/drain recesses (not explicitly shown, filled with the source/drain features 240 in FIG. 15 ) over the source/drain regions of the fin-shaped structures 212. The anisotropic etch at block 120 may include a dry etch process or a suitable etch process. For example, the dry etch process may implement an oxygen-containing gas, hydrogen, a fluorine-containing gas (e.g., CF4, SF6, NF3, CH2F2, CHF3, and/or C2F6), a chlorine-containing gas (e.g., Cl2, CHCl3, CCl4, and/or BCl3), a bromine-containing gas (e.g., HBr and/or CHBR3), an iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof. The dry etch process at block 124 may etch the at least one gate spacer 234, the helmet features 224, and the first dielectric layer 220 at a slower rate and leave dielectric fins substantially unetched. Sidewalls of the plurality of channel layers 208, the plurality of the sacrificial layers 206, and the cladding layer 218 are exposed in the source/drain recess.
  • Although not specifically shown in figures, operations at block 124 also include formation of inner spacer features to interleave the channel layers 208. After the formation of the source/drain recesses, the sacrificial layers 206 exposed in the source/drain recesses are first selectively and partially recessed to form inner spacer recesses, while the exposed channel layers 208 are substantially unetched. Because the cladding layer 218 and the sacrificial layers 206 share a similar composition (i.e., SiGe), the cladding layer 218 is also etched at block 124. In an embodiment where the channel layers 208 consist essentially of silicon (Si), sacrificial layers 206 consist essentially of silicon germanium (SiGe), and the cladding layer 218 consists essentially of silicon germanium (SiGe), the selective and partial recess of the sacrificial layers 206 and the cladding layer 218 may include APM etch (e.g., ammonia hydroxide-hydrogen peroxide-water mixture). After the formation of the inner spacer recesses, an inner spacer material layer is then conformally deposited using CVD or ALD over the workpiece 200, including over and into the inner spacer recesses and the space left behind by the removed portion of the cladding layer 218. The inner spacer material may include silicon nitride, silicon oxycarbonitride, silicon carbonitride, silicon oxide, silicon oxycarbide, silicon carbide, or silico oxynitride. After the deposition of the inner spacer material layer, the inner spacer material layer is etched back to form inner spacer features.
  • Operations at block 124 also includes deposition of source/drain features 240 in the source/drain recesses. In some embodiments, the source/drain features 240 may be selectively and epitaxially deposited on the exposed semiconductor surfaces of the channel layers 208 and the substrate 202. The source/drain features 240 may be deposited using an epitaxial process, such as vapor-phase epitaxy (VPE), ultra-high vacuum CVD (UHV-CVD), molecular beam epitaxy (MBE), and/or other suitable processes. The source/drain features 240 may be either n-type or p-type. When the source/drain features 240 are n-type, it may include silicon (Si) and may be doped with an n-type dopant, such as phosphorus (P) or arsenic (As). When the source/drain features 240 are p-type, it may include silicon germanium (SiGe) or germanium (Ge) and may be doped with a p-type dopant, such as boron (B) or boron difluoride (BF2). Doping of the source/drain features 240 may be performed either in situ with their deposition or ex situ using an implantation process, such as a junction implant process. While not explicitly shown in the figures, the source/drain features 240 may include multiple epitaxial layers with different doping concentrations. As shown in FIG. 15 , the dielectric fins 230 serves as dividers of source/drain features in adjacent source/drain recesses. When the dielectric fins 230 are not formed or are not tall or wide enough, adjacent source/drain features 240 may merge, causing undesirable shorts.
  • Referring to FIG. 1 , method 100 includes a block 126 where the dummy gate stack 232 are removed. Operations at block 126 include deposition of a contact etch stop layer (CESL) and an interlayer dielectric (ILD) layer and removal of the dummy gate stack 232. Although not explicitly show, the CESL and ILD are deposited over the source/drain features 240 to protect the same from subsequent processes. The CESL may include silicon nitride and may be deposited on the source/drain features 240 using ALD or CVD. The ILD layer includes materials such as tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials. The ILD layer may be deposited on the CESL by spin-on coating, an FCVD process, or other suitable deposition technique. After the deposition of the CESL and the ILD layer, a planarization process (such a chemical mechanical polishing (CMP) process) may be performed to the workpiece 200 to provide a planar top surface that exposes the dummy gate stack 232.
  • The exposed dummy gate stack 232 is then removed from the workpiece 200 by a selective etch process. The selective etch process may be a selective wet etch process, a selective dry etch process, or a combination thereof. In the depicted embodiments, the selective etch process selectively removes the dummy dielectric layer and the dummy electrode without substantially damaging the helmet features 224, the at least one gate spacer 234 and the first dielectric layer 220. The removal of the dummy gate stack 232 results in a gate trenche over the channel regions. The gate trenches are defined by the at least one gate spacer 234.
  • Referring to FIGS. 1 and 16 , method 100 includes a block 128 where the sacrificial layers 206 in the channel region are removed to release channel members 2080. After the removal of the dummy gate stack 232, channel layers 208, sacrificial layers 206, and the cladding layer 218 in the channel region are exposed in the gate trenches. Due to their similar composition, the exposed sacrificial layers 206 between the channel layers 208 and the cladding layer 218 may be selectively removed to release the channel layers 208 to form channel members 2080, shown in FIG. 16 . The channel members 2080 are vertically stacked along the Z direction. The selective removal of the sacrificial layers 206 and the cladding layer 218 may be implemented by selective dry etch, selective wet etch, or other selective etch processes. In some embodiments, the selective wet etching includes an APM etch (e.g., ammonia hydroxide-hydrogen peroxide-water mixture). In some alternative embodiments, the selective removal includes silicon germanium oxidation followed by a silicon germanium oxide removal. For example, the oxidation may be provided by ozone clean and then silicon germanium oxide removed by an etchant such as NH4OH. With the removal of the sacrificial layers 206 and the cladding layer 218 in the channel region, the first dielectric layer 220, the channel members 2080, the top surface of the base portion 212B, and the isolation feature 216 are exposed in the gate trenches. The tapered profile of the helmet feature 224 ensures that the helmet feature 224 and the adjacent channel layer 208 do not pinch off or restrict the access to lower sacrificial layer 206.
  • Referring to FIGS. 1, 16 and 17 , method 100 includes a block 130 where a gate structure 250 is formed to wrap around each of the channel members 2080. The gate structure layers may include an interfacial layer on the channel members 2080 and the substrate 202, a gate dielectric layer over the interfacial layer, and a gate electrode layer over the gate dielectric layer. In some embodiments, the interfacial layer includes silicon oxide and may be formed as result of a pre-clean process. An example pre-clean process may include use of RCA SC-1 (ammonia, hydrogen peroxide and water) and/or RCA SC-2 (hydrochloric acid, hydrogen peroxide and water). The pre-clean process oxidizes the exposed surfaces of the channel members 2080 and the substrate 202 to form the interfacial layer. The gate dielectric layer is then deposited over the interfacial layer using ALD, CVD, and/or other suitable methods. The gate dielectric layer may include high-K dielectric materials. As used herein, high-k dielectric materials include dielectric materials having a high dielectric constant, for example, greater than that of thermal silicon oxide (˜3.9). In one embodiment, the gate dielectric layer may include hafnium oxide. Alternatively, the gate dielectric layer may include other high-K dielectrics, such as titanium oxide (TiO2), hafnium zirconium oxide (HfZrO), tantalum oxide (Ta2O5), hafnium silicon oxide (HfSiO4), zirconium oxide (ZrO2), zirconium silicon oxide (ZrSiO2), lanthanum oxide (La2O3), aluminum oxide (Al2O3), zirconium oxide (ZrO), yttrium oxide (Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, hafnium lanthanum oxide (HfLaO), lanthanum silicon oxide (LaSiO), aluminum silicon oxide (AlSiO), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), (Ba,Sr)TiO3 (BST), silicon nitride (SiN), silicon oxynitride (SiON), combinations thereof, or other suitable material. After the formation or deposition of the interfacial layer and the gate dielectric layer, a gate electrode layer is deposited over the gate dielectric layer. The gate electrode layer may be a multi-layer structure that includes at least one work function layer and a metal fill layer. By way of example, the at least one work function layer may include titanium nitride (TiN), titanium aluminum (TiAl), titanium aluminum nitride (TiAlN), tantalum nitride (TaN), tantalum aluminum (TaAl), tantalum aluminum nitride (TaAlN), tantalum aluminum carbide (TaAlC), tantalum carbonitride (TaCN), or tantalum carbide (TaC). The metal fill layer may include aluminum (Al), tungsten (W), nickel (Ni), titanium (Ti), ruthenium (Ru), cobalt (Co), platinum (Pt), tantalum silicon nitride (TaSiN), copper (Cu), other refractory metals, or other suitable metal materials or a combination thereof. In various embodiments, the gate electrode layer may be formed by ALD, PVD, CVD, e-beam evaporation, or other suitable process. The tapered profile of the helmet feature 224 ensures that the helmet feature 224 and the adjacent channel layer 208 do not pinch off or restrict the access to lower channel members 2080.
  • In various embodiments, a planarization process, such as a CMP process, may be performed to remove excessive materials to provide a substantially planar top surface of the gate structures. Referring to FIG. 17 , the deposited gate structure 250 layers wrap around each of the channel members 2080 and come in contact with the dielectric fins 230. More specifically, the gate structure 250 directly contact the first dielectric layer 220 and the helmet features 224. The second dielectric layer 222 is spaced apart from the gate structure 250 by the first dielectric layer 220. Referring to FIG. 17 , after the formation of the gate structure 250, the workpiece 200 is planarized until the gate structure 250 is divided by the dielectric fins into segments. Each of the dielectric fins 230 includes the first dielectric layer 220 and the second dielectric layer 222 as a bottom portion and the helmet feature 224 as a top portion. As shown in FIG. 17 , the helmet feature 224 includes a second height H2, the bottom portion includes a third height H3, and the entire dielectric fin 230 includes a fourth height H4. In some instances, the second height H2 may be between about 10 nm and 30 nm, the third height H3 may be between about 30 nm and about 70 nm, and the fourth height H4 may be between about 40 nm and about 100 nm. A ratio of the second height H2 to the third height H3 may be between about 0.3 and about 1. This ratio is not trivial because it ensures that helmet features 224 have sufficient thickness to withstand the etching processes but do not come directly between two adjacent source/drain features 240.
  • Reference is now made to FIG. 18 , which is an enlarged fragmentary cross-sectional view of a helmet feature 224 in FIG. 17 . Due to the etching process at block 120 and planarization at block 130, the helmet feature 224 in FIG. 18 includes the bottom width WB and a final top width W greater than the bottom width WB, where the final top width W is smaller than the top width WT. As a result, the helmet feature 224 still has a tapered profile. The bottom width WB is between about 10 nm and about 15 nm while the final top width W is between about 15.5 and 18 nm. The helmet feature 224 in FIG. 18 also has a second height H2 smaller than the first height H1. As described above, the second height H2 may be between about 10 nm and about 30 nm. The helmet feature 224 extends partially into the first dielectric layer 220 and the second dielectric layer 222 along the Z direction. Because of the trimming process at block 116, the helmet feature 224 extends further into the first dielectric layer 220 than into the second dielectric layer 222. The second dielectric layer 222 has a lower dielectric constant than the first dielectric layer 220 and serves to reduce the parasitic capacitance. The helmet feature 224 is formed of metal oxide and may have a dielectric constant even greater than that of the first dielectric layer 220. Due to the implementation of the process of the present disclosure, the helmet feature 224 does not overly extend into the second dielectric layer 222, thereby preventing undesirable increase of parasitic capacitance. After the CMP at block 130, the void 260 may be removed. The taper profile of the widened helmet recess 223 prevents formation of an elongated seam-like void. The elongated seam-like void may compromise the integrity of the helmet feature 224 during various etching or planarization processes.
  • Based on the above discussions, it can be seen that the present disclosure offers advantages over conventional processes. It is understood, however, that other embodiments may offer additional advantages, and not all advantages are necessarily disclosed herein, and that no particular advantage is required for all embodiments. For example, the processes disclosed in the present disclosure form widened helmet recesses and helmet features that taper downward. The wider top width of the helmet features facilitates patterning of source/drain features and the narrow bottom width enlarges process windows for channel release and gate formation. Additionally, the taper profile prevents formation of seam-like voids in the helmet features.
  • In one exemplary aspect, the present disclosure is directed to a method. The method includes forming a stack of epitaxial layers over a substrate, forming a first fin-like structure and a second fin-like structure from the stack and a portion of the substrate, forming an isolation feature between the first fin-like structure and the second fin-like structure, forming a cladding layer over the first fin-like structure and the second fin-like structure, conformally depositing a first dielectric layer over the cladding layer, depositing a second dielectric layer over the first dielectric layer, planarizing the first dielectric layer and the second dielectric layer until the cladding layer are exposed, performing an etch process to etch the second dielectric layer to form a helmet recess, performing a trimming process to trim the first dielectric layer to widen the helmet recess, and depositing a helmet feature in the widened helmet recess.
  • In some embodiments, the cladding layer includes silicon germanium, the first dielectric layer includes silicon carbonitride, silicon carbide, or silicon nitride, and the second dielectric layer includes silicon oxide. In some instances, the etch process includes a plurality cycles of chemical oxide removal. In some embodiments, the etch process includes use of ammonia and hydrofluoric acid. In some embodiments, the trimming process includes a chemical treatment step comprising use of an oxidizer, and a flushing step comprising use of an acid. In some implementations, the oxidizer includes a high temperature sulfuric peroxide mixture and the acid includes dilute hydrofluoric acid. In some instances, the chemical treatment step lasts a first duration, the flushing step lasts a second duration shorter than the first duration, and a ratio of the first duration and the second duration is between about 10 and 15. In some embodiments, the method may further include after the trimming process, performing a cleaning process. The cleaning process includes use of a high temperature sulfuric peroxide mixture.
  • In another exemplary aspect, the present disclosure is directed to a method. The method includes receiving a workpiece that includes a first fin-like structure and a second fin-like structure disposed over a substrate, an isolation feature between the first fin-like structure and the second fin-like structure, a cladding layer disposed over the isolation feature and extending along sidewalls of the first fin-like structure and the second fin-like structure, a conformal dielectric layer in contact with the cladding layer and the isolation feature, and a filler dielectric layer over the conformal dielectric layer and spaced apart from the first fin-like structure, the second fin-like structure and the isolation feature by the conformal dielectric layer. The method further includes performing a etch process to etch the filler dielectric layer to form a helmet recess, performing a trimming process to trim the conformal dielectric layer to widen the helmet recess, and depositing a helmet feature in the widened helmet recess.
  • In some embodiments, before the etch process, top surfaces of the first fin-like structure, the second fin-like structure, the cladding layer, the conformal dielectric layer, and the filler dielectric layer are coplanar. In some embodiments, the filler dielectric layer includes silicon oxide and the conformal dielectric layer is substantially free of oxygen. In some instances, the conformal dielectric layer includes silicon carbonitride. In some implementations, the helmet feature includes aluminum oxide, hafnium oxide, zirconium oxide, or zinc oxide. In some embodiments, the widened helmet recess includes a bottom width and a top width greater than the bottom width such that the widened helmet recess includes a tapered profile.
  • In yet another exemplary aspect, the present disclosure is directed to a semiconductor structure. The semiconductor structure includes a first dielectric fin and a second dielectric fin, a plurality of channel members disposed between the first dielectric fin and the second dielectric fin, and a gate structure disposed between the first dielectric fin and the second dielectric fin and wrapping around each of the plurality of channel members. Each of the first dielectric fin and the second dielectric fin includes a base feature and a helmet feature over the base feature. The helmet feature includes a bottom width and a top width greater than the bottom width such that the helmet feature includes a tapered profile.
  • In some embodiments, the bottom width is between about 10 nm and about 15 nm and the top width is between about 15.5 nm and about 18 nm. In some embodiments, the base feature includes an outer layer in contact with the gate structure and an inner layer spaced apart from the gate structure by the outer layer and the helmet feature partially extends into the outer layer by a first depth and partially extends into the inner layer by a second depth smaller than the first depth. In some implementations, the inner layer includes silicon oxide and the outer layer is substantially free of oxygen. In some instances, the outer layer includes silicon carbonitride.
  • The foregoing outlines features of several embodiments so that those of ordinary skill in the art may better understand the aspects of the present disclosure. Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those of ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (21)

1. A method, comprising:
forming a stack of epitaxial layers over a substrate;
forming a first fin-like structure and a second fin-like structure from the stack and a portion of the substrate;
forming an isolation feature between the first fin-like structure and the second fin-like structure;
forming a cladding layer over the first fin-like structure and the second fin-like structure;
conformally depositing a first dielectric layer over the cladding layer;
depositing a second dielectric layer over the first dielectric layer;
planarizing the first dielectric layer and the second dielectric layer until the cladding layer are exposed;
performing an etch process to etch the second dielectric layer to form a helmet recess;
performing a trimming process to trim the first dielectric layer to widen the helmet recess; and
depositing a helmet feature in the widened helmet recess.
2. The method of claim 1,
wherein the cladding layer comprises silicon germanium,
wherein the first dielectric layer comprises silicon carbonitride, silicon carbide, or silicon nitride,
wherein the second dielectric layer comprises silicon oxide.
3. The method of claim 1, wherein the etch process comprises a plurality cycles of chemical oxide removal.
4. The method of claim 3, wherein the etch process comprises use of ammonia and hydrofluoric acid.
5. The method of claim 1, wherein the trimming process comprises:
a chemical treatment step comprising use of an oxidizer; and
a flushing step comprising use of an acid.
6. The method of claim 5, wherein the oxidizer comprises a high temperature sulfuric peroxide mixture and the acid comprises dilute hydrofluoric acid.
7. The method of claim 5,
wherein the chemical treatment step lasts a first duration,
wherein the flushing step lasts a second duration shorter than the first duration.
8. The method of claim 7, wherein a ratio of the first duration and the second duration is between about 10 and 15.
9. The method of claim 1, further comprising:
after the trimming process, performing a cleaning process, wherein the cleaning process comprises use of a high temperature sulfuric peroxide mixture.
10. A method, comprising:
receiving a workpiece comprising:
a first fin-like structure and a second fin-like structure disposed over a substrate,
an isolation feature between the first fin-like structure and the second fin-like structure,
a cladding layer disposed over the isolation feature and extending along sidewalls of the first fin-like structure and the second fin-like structure,
a conformal dielectric layer in contact with the cladding layer and the isolation feature, and
a filler dielectric layer over the conformal dielectric layer and spaced apart from the first fin-like structure, the second fin-like structure and the isolation feature by the conformal dielectric layer;
performing a etch process to etch the filler dielectric layer to form a helmet recess;
performing a trimming process to trim the conformal dielectric layer to widen the helmet recess; and
depositing a helmet feature in the widened helmet recess.
11. The method of claim 10, wherein, before the etch process, top surfaces of the first fin-like structure, the second fin-like structure, the cladding layer, the conformal dielectric layer, and the filler dielectric layer are coplanar.
12. The method of claim 10, wherein the filler dielectric layer comprises silicon oxide and the conformal dielectric layer is substantially free of oxygen.
13. The method of claim 12, wherein the conformal dielectric layer comprises silicon carbonitride.
14. The method of claim 10, wherein the helmet feature comprises aluminum oxide, hafnium oxide, zirconium oxide, or zinc oxide.
15. The method of claim 10, wherein the widened helmet recess comprises a bottom width and a top width greater than the bottom width such that the widened helmet recess comprises a tapered profile.
16-20. (canceled)
21. A method, comprising:
forming a first fin-shaped active region and a second fin-shaped active region over a substrate;
forming an isolation feature between a lower portion of the first fin-shaped active region and a lower portion of the second fin-shaped active region;
epitaxially depositing a semiconductor layer over sidewalls of the first fin-shaped active region and the second fin-shaped active region;
after the epitaxially depositing, conformally depositing a first dielectric layer over the isolation feature and the semiconductor layer;
depositing a second dielectric layer over the first dielectric layer;
planarizing the first dielectric layer and the second dielectric layer until top surfaces of the first fin-shaped active region and the second fin-shaped active region are exposed;
selectively recessing the second dielectric layer to form a first recess;
after the selectively recessing, trimming the first dielectric layer to widen the first recess into a second recess; and
depositing a third dielectric layer over the second recess.
22. The method of claim 21, wherein the semiconductor layer comprises silicon germanium.
23. The method of claim 21,
wherein the first dielectric layer comprises silicon carbonitride, silicon carbide, or silicon nitride,
wherein the second dielectric layer comprises silicon oxide.
24. The method of claim 21,
wherein the etch process comprises a plurality cycles of chemical oxide removal,
wherein the etch process comprises use of ammonia and hydrofluoric acid.
25. The method of claim 21, wherein the trimming process comprises:
a chemical treatment step comprising use of an oxidizer; and
a flushing step comprising use of an acid.
US17/359,105 2021-06-25 2021-06-25 Dielectric isolation structure for multi-gate transistors Active US11532733B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US17/359,105 US11532733B1 (en) 2021-06-25 2021-06-25 Dielectric isolation structure for multi-gate transistors
TW111109203A TWI799185B (en) 2021-06-25 2022-03-14 Semiconductor structure and method of forming the same
CN202210610857.XA CN115249738A (en) 2021-06-25 2022-05-31 Semiconductor structure and forming method thereof
US18/077,714 US11888049B2 (en) 2021-06-25 2022-12-08 Dielectric isolation structure for multi-gate transistors

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17/359,105 US11532733B1 (en) 2021-06-25 2021-06-25 Dielectric isolation structure for multi-gate transistors

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/077,714 Division US11888049B2 (en) 2021-06-25 2022-12-08 Dielectric isolation structure for multi-gate transistors

Publications (2)

Publication Number Publication Date
US11532733B1 US11532733B1 (en) 2022-12-20
US20220416058A1 true US20220416058A1 (en) 2022-12-29

Family

ID=83697914

Family Applications (2)

Application Number Title Priority Date Filing Date
US17/359,105 Active US11532733B1 (en) 2021-06-25 2021-06-25 Dielectric isolation structure for multi-gate transistors
US18/077,714 Active US11888049B2 (en) 2021-06-25 2022-12-08 Dielectric isolation structure for multi-gate transistors

Family Applications After (1)

Application Number Title Priority Date Filing Date
US18/077,714 Active US11888049B2 (en) 2021-06-25 2022-12-08 Dielectric isolation structure for multi-gate transistors

Country Status (3)

Country Link
US (2) US11532733B1 (en)
CN (1) CN115249738A (en)
TW (1) TWI799185B (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170278928A1 (en) * 2016-03-22 2017-09-28 United Microelectronics Corp. Semiconductor device and method of forming the same
US11271083B2 (en) * 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, FinFET device and methods of forming the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
US10147787B1 (en) * 2017-05-31 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10074575B1 (en) 2017-06-21 2018-09-11 International Business Machines Corporation Integrating and isolating nFET and pFET nanosheet transistors on a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170278928A1 (en) * 2016-03-22 2017-09-28 United Microelectronics Corp. Semiconductor device and method of forming the same
US11271083B2 (en) * 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, FinFET device and methods of forming the same

Also Published As

Publication number Publication date
US20230098409A1 (en) 2023-03-30
TW202301551A (en) 2023-01-01
CN115249738A (en) 2022-10-28
TWI799185B (en) 2023-04-11
US11888049B2 (en) 2024-01-30
US11532733B1 (en) 2022-12-20

Similar Documents

Publication Publication Date Title
US11916072B2 (en) Gate isolation structure
US11855138B2 (en) Semiconductor device structure
US11527534B2 (en) Gap-insulated semiconductor device
US11588050B2 (en) Backside contact
US20230369321A1 (en) Hybrid semiconductor device
US20240006513A1 (en) Multi-Gate Transistor Structure
US20210408012A1 (en) Source/Drain Feature Separation Structure
US11450662B2 (en) Gate isolation structure
US20240030354A1 (en) Semiconductor device
US20230395686A1 (en) Semiconductor device with gate isolation features and fabrication method of the same
US20220367463A1 (en) Reduction of gate-drain capacitance
US11888049B2 (en) Dielectric isolation structure for multi-gate transistors
US11735665B2 (en) Dielectric fin structure
US20230268384A1 (en) Isolation structure for transistors
US20240145555A1 (en) Transistor structure with low resistance contact
US11538927B2 (en) Nanostructures and method for manufacturing the same
US20230066097A1 (en) Active region cut process
US20230187518A1 (en) Semiconductor Device With Tunable Channel Layer Usage And Methods Of Fabrication Thereof

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE