CN115172233A - 拾取装置及其使用方法 - Google Patents

拾取装置及其使用方法 Download PDF

Info

Publication number
CN115172233A
CN115172233A CN202210389551.6A CN202210389551A CN115172233A CN 115172233 A CN115172233 A CN 115172233A CN 202210389551 A CN202210389551 A CN 202210389551A CN 115172233 A CN115172233 A CN 115172233A
Authority
CN
China
Prior art keywords
semiconductor die
adhesive film
semiconductor
ultraviolet light
light emitting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210389551.6A
Other languages
English (en)
Inventor
陈奕融
蔡宗甫
卢思维
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN115172233A publication Critical patent/CN115172233A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67132Apparatus for placing on an insulating substrate, e.g. tape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps

Abstract

本公开提供一种拾取装置及其使用方法。一种用于将粘合在粘合膜上的半导体管芯从所述粘合膜分离的拾取装置包括框架、紫外光发射元件及收集器元件。所述框架被配置成固持其上方粘合有半导体管芯的粘合膜。所述紫外光发射元件设置在框架内部,其中所述粘合膜设置在半导体管芯与紫外光发射元件之间。所述收集器元件设置在框架之上。

Description

拾取装置及其使用方法
技术领域
本公开提供一种拾取装置及其使用方法。
背景技术
缩减半导体器件及电子组件的尺寸的发展使得在给定体积中集成具有更小及更薄尺寸的更多器件及组件成为可能,且实现各种半导体器件和/或电子组件的高集成密度。在集成期间,器件及组件的运输已得到发展。
发明内容
本公开提供一种用于将粘合在粘合膜上的半导体管芯从所述粘合膜分离的方法包括:提供拾取装置,所述拾取装置包括框架、设置在所述框架内部的紫外光发射元件以及设置在所述框架之上的收集器元件;通过所述框架将粘合在所述粘合膜上的所述半导体管芯放置到所述拾取装置上;经由所述紫外光发射元件将紫外光辐射到位于所述半导体管芯之下的所述粘合膜的一部分上;以及经由所述收集器元件从所述粘合膜的所述一部分移除所述半导体管芯。
本公开提供一种用于将粘合在粘合膜上的半导体管芯从所述粘合膜分离的拾取装置包括:框架,被配置成固持粘合有所述半导体管芯的所述粘合膜;紫外光发射元件,设置在所述框架内部,所述粘合膜设置在所述半导体管芯与所述紫外光发射元件之间;以及收集器元件,设置在所述框架之上以拾取所述半导体管芯。
本公开提供一种用于将半导体管芯从粘着层剥离的拾取装置包括:第一框架元件,被配置成固持粘合有所述半导体管芯的所述粘着层;第二框架元件,设置在所述第一框架元件内部;光发射元件,设置在所述第二框架元件内部,所述粘着层设置在所述半导体管芯与所述光发射元件之间;顶出器元件,设置在所述第二框架元件内部且靠近所述光发射元件,所述粘着层设置在所述半导体管芯与所述顶出器元件之间;以及收集器元件,设置在所述第一框架元件及所述第二框架元件之上以拾取所述半导体管芯。
附图说明
接合附图阅读以下详细说明,会最好地理解本公开的各个方面。应注意,根据本行业中的标准惯例,各种特征并未按比例绘制。事实上,为使论述清晰起见,可任意增大或减小各种特征的尺寸。
图1到图11是示出根据本公开一些实施例的在制造半导体器件期间使用拾取装置的方法的示意性剖视图。
图12到图14分别是根据本公开一些替代实施例的半导体器件的示意性剖视图。
图15是示出根据本公开一些实施例的半导体管芯及粘合层的定位配置的示意性俯视图。
图16及图17是示出根据本公开一些实施例的拾取装置的光发射器的示意性剖视图及俯视图。
图18及图19是示出根据本公开一些实施例的拾取装置的顶出器总成的示意性剖视图及俯视图。
图20到图21是示出根据本公开一些替代实施例的拾取装置的顶出器总成的示意性俯视图。
图22是示出根据本公开一些替代实施例的制造半导体器件期间的拾取装置的示意性剖视图。
图23到图26是示出根据本公开一些实施例的在制造半导体器件期间使用拾取装置的方法的示意性剖视图。
图27是示出根据本公开一些替代实施例的制造半导体器件期间的拾取装置的示意性剖视图。
图28示出根据本公开一些实施例的在制造半导体器件期间使用拾取装置的方法的流程图。
[符号的说明]
100A、100B、100C、100D:拾取装置
110:第一框架元件
110t、120t、144t、200t、240t、250t、260t、300t:顶表面
120:第二框架元件
130:光发射元件
130a:光出射端口
132:光源单元
134:透镜单元
136、144:壳体
140:顶出器元件
141:电动机
142:销卡盘
143:销
143e:端部
150、160:收集器元件
152、162、652:本体
154、164、654:沟道
160a:凹槽
200、200A、8200a、8200b:半导体管芯
210:半导体衬底
210a:有效表面
210b、300b:底表面
220:内连线结构
222、2320、2420:介电层
224、1240:金属化层
230、1540b:连接垫
240:连接通孔
250:保护层
260、1300:导电柱
260b、1300t、1400t、S1、S2、S3、S6、S7:表面
270:衬垫
300、300’:粘合膜
310:第一部分
320:第二部分
400:装载元件
650:接合器元件
1000:方法
1120:载体
1140:剥离层
1200、1500、2300、2400:重布线电路结构
1220、1520:聚合物介电层
1400、8600:绝缘包封体
1540、2340、2440、5300:金属化层
1540a:球下金属(UBM)图案
1600、4000、6000:导电端子
2000:电路元件
2100:核心部分
2200:通孔
2520a、2520b:焊料掩模层
2540a、2540b:接合垫
3000、9000:底部填充胶
5000、8100:衬底
5100、5200:接触垫
7000:导电端子/接头
8000:封装
8300a、8300b:接合线
8400、8500:导电垫
AA、BB、CC:线
BS:后侧表面
D1:距离
DA1、DA2:连接膜
FS:前侧表面
G:间隙
L:紫外光
L1、L3、L5、L7:第一侧向尺寸
L2、L4、L6、L8:第二侧向尺寸
PL:光路径
R1:中心区
R2:外围区
S1010、S1020、S1030、S1040:步骤
SP1、SP1’、SP2、SP3、SP4:半导体封装
W1、W2:宽度
W3:尺寸
X、Y:方向
Z:堆叠方向
具体实施方式
以下公开内容提供用于实施所提供主题的不同特征的许多不同的实施例或实例。以下阐述组件、值、操作、材料、排列等的具体实例以简化本公开。当然,这些仅为实例而非旨在进行限制。预期存在其他组件、值、操作、材料、排列等。举例来说,在以下说明中,在第二特征之上或第二特征上形成第一特征可包括其中第一特征与第二特征被形成为直接接触的实施例,且也可包括其中在第一特征与第二特征之间可形成附加特征从而使得第一特征与第二特征可不直接接触的实施例。另外,本公开可在各种实例中重复使用参考编号和/或字母。此种重复使用是为了简明及清晰起见,且自身并不表示所论述的各种实施例和/或配置之间的关系。
此外,为易于说明,本文中可能使用例如“在…之下(beneath)”、“在…下方(below)”、“下部的(lower)”、“在…上方(above)”、“上部的(upper)”等空间相对性用语来阐述图中所示一个元件或特征与另一(其他)元件或特征的关系。除了图中所绘示的取向以外,所述空间相对性用语还旨在囊括器件在使用或操作中的不同取向。装置可具有其他取向(旋转90度或处于其他取向),且本文中所使用的空间相对性描述语可同样据以作出解释。
另外,为易于说明,本文中可能使用例如“第一(first)”、“第二(second)”、“第三(third)”等用语来阐述图中所示的相似或不同的元件或特征,且可依据说明的存在次序或上下文互换地使用。
应理解,本公开的以下实施例提供可应用的概念,所述概念可体现在各种各样的具体上下文中。本文中论述的具体实施例仅是例示性的且涉及制造半导体器件(例如半导体封装或半导体管芯(例如,系统集成电路(system-on-integrated circuit,SoIC)等))期间的拾取装置及其使用方法。根据一些实施例,拾取装置包括安装在拾取装置中的紫外(ultraviolet,UV)光发射器,其中在将紫外光辐射到粘合膜之后,经由收集器元件使半导体芯片(或管芯)从粘合膜(或层)有效地脱离。举例来说,在使用紫外光发射器的情况下,粘合膜会因经历紫外光暴露而失去其接触黏性(tack)。因此,对于较大的芯片(例如具有约40mm2或大于40mm2的面积)、较薄的芯片(例如具有约100μm或小于100μm的厚度)或较大且较薄的芯片,芯片破裂故障的风险降低。另外,拾取装置还可包括包含多个销的顶出器元件,其中所述多个销将半导体芯片及位于半导体芯片之下的粘合膜向上提升,以使半导体芯片局部地从粘合膜脱离,从而便于从粘合膜拾取半导体芯片。
图1到图11是示出根据本公开一些实施例的在制造半导体器件期间使用拾取装置的方法的示意性剖视图。图15是示出图2中的半导体管芯及粘合层的定位配置的示意性俯视图,其中图1到图11是沿着图15中所绘示的线AA截取的剖视图。图16及图17是示出图1中所绘示的拾取装置的光发射器的示意性剖视图及俯视图,其中图16是沿着图17中所绘示的线BB截取的剖视图。图18及图19是示出图1中所绘示的拾取装置的顶出器总成的示意性剖视图及俯视图,其中图18是沿着图19中所绘示的线CC截取的剖视图。图28示出根据本公开一些实施例的在制造半导体器件期间使用拾取装置的方法的流程图。
在一些实施例中,一个(半导体)芯片或管芯被示出为代表晶片的多个(半导体)芯片或管芯,且一个半导体封装被示出为代表按照(半导体)制造方法获得的多个半导体封装,但本公开并不仅限于此。在其他实施例中,一个或多个(半导体)芯片或管芯被示出为代表晶片的多个(半导体)芯片或管芯,且一个或多个半导体封装被示出为代表按照(半导体)制造方法获得的多个半导体封装。在实施例中,所述制造方法是晶片级封装工艺的一部分。应注意,本文中阐述的工艺步骤涵盖用于制作半导体封装的制造工艺的一部分。所述实施例旨在提供进一步的阐释,但不用于限制本公开的范围。
参照图1,在一些实施例中,在半导体封装SP1(如图11中所示)的制造工艺期间使用拾取装置100A的方法包括以下步骤。首先,在胶带框架(tape frame)(未示出)之上提供包括多个半导体管芯200的第一晶片(未示出),且执行切割工艺以沿着切分线将第一晶片切分成各别且分离的多个半导体管芯200。在一个实施例中,切割工艺是包括机械刀片锯切(mechanical blade sawing)或激光切分的晶片切割工艺。在一些实施例中,在切割/单体化之前,通过探测(probing)来测试第一晶片中所包括的半导体管芯200的功能及性能,且仅从经测试的半导体管芯200中选择已知良好管芯(known good die,KGD)用于随后的处理。在一些实施例中,将来自经测试的半导体管芯200的已知良好管芯(KGD)放置到且粘合到粘合膜300上,以用于随后的处理。出于例示目的且为简明起见,图1中仅示出三个半导体管芯200,所述三个半导体管芯200被标识为设置在粘合膜(adhesive film)300中的KGD;本公开并不仅限于此。在一些实施例中,粘合膜300是连续膜(continuous film)。粘合膜300可包括UV胶带(UV tape)、UV膜或UV粘合膜。粘合膜300可被称为粘着膜(adhesion film)、粘着层(adhesion layer)或粘合层(adhesive layer)。
另外,在切割/单体化之前,半导体管芯200可在第一晶片中排列成阵列。在一些实施例中,半导体管芯200以矩阵的形式排列,例如沿着方向X及方向Y的N×N阵列或N×M阵列(N、M>0,N可等于或可不等于M)。举例来说,方向X与方向Y彼此不相同且彼此垂直。也就是说,在一些实施例中,在切割/单体化之前,第一晶片的多个半导体管芯200连接至彼此。
如图1中所示,半导体管芯200可独立地被称为包括数字芯片、模拟芯片或混合信号芯片的半导体管芯或芯片。在一些实施例中,半导体管芯200独立地是:逻辑管芯(例如,中央处理器(central processing unit,CPU)、图形处理单元(graphics processingunit,GPU)、神经网络处理单元(neural network processing unit,NPU)、深度学习处理单元(deep learning processing unit,DPU)、张量处理单元(tensor processing unit,TPU)、系统芯片(system-on-a-chip,SoC)、应用处理器(application processor,AP)及微控制器(microcontroller));电源管理管芯(例如,电源管理集成电路(power managementintegrated circuit,PMIC)管芯);无线及射频(radio frequency,RF)管芯;基带(baseband,BB)管芯;传感器管芯(例如,光/图像传感器芯片);微机电系统(micro-electro-mechanical-system,MEMS)管芯;信号处理管芯(例如,数字信号处理(digitalsignal processing,DSP)管芯);前端管芯(例如,模拟前端(analog front-end,AFE)管芯);应用专用管芯(例如,应用专用集成电路(application-specific integratedcircuit,ASIC));现场可编程门阵列(field-programmable gate array,FPGA);其组合;或类似管芯。在替代实施例中,半导体管芯200独立地是具有控制器或不具有控制器的存储器管芯,其中存储器管芯包括单一形式的管芯,例如动态随机存取存储器(dynamic randomaccess memory,DRAM)管芯、静态随机存取存储器(static random access memory,SRAM)管芯、电阻式随机存取存储器(resistive random-access memory,RRAM)、磁阻式随机存取存储器(magnetoresistive random-access memory,MRAM)、与非(NAND)闪速存储器(flashmemory)、宽输入/输出(input/output,I/O)存储器(wide I/O memory,WIO)、例如混合存储器立方体(hybrid memory cube,HMC)模块等预堆叠存储器立方体、高带宽存储器(highbandwidth memory,HBM)模块;其组合;或类似管芯。在进一步的替代实施例中,半导体管芯200独立地是:人工智能(artificial intelligence,AI)引擎,例如AI加速器;计算系统,例如AI服务器、高性能计算(high-performance computing,HPC)系统、高功率计算器件(highpower computing device)、云计算系统(cloud computing system)、联网系统(networkcomputing system)、边缘计算系统(edge computing system)、沉浸式存储器计算系统(immersive memory computing system,ImMC)、SoIC系统等;其组合;或类似器件。在一些其他实施例中,半导体管芯200独立地是电和/或光学输入/输出(I/O)界面管芯、集成无源管芯(integrated passives die,IPD)、电压调节器管芯(voltage regulator die,VR)、具有或不具有深沟槽电容器(deep trench capacitor,DTC)特征的局部硅内连线管芯(localsilicon interconnect die,LSI)、具有多层功能(例如电和/或光学网络电路界面、IPD、VR、DTC等)的局部硅内连线管芯。半导体管芯200的类型可基于需求及设计要求来选择及指定,且因此在本公开中不受具体限制。
在一些实施例中,半导体管芯200中的每一者包括其中形成有半导体元件(未示出)的半导体衬底210、形成在半导体衬底210上的内连线结构220、形成在内连线结构220上的多个连接垫230、形成在连接垫230上的多个连接通孔240、覆盖内连线结构220、连接垫230以及连接通孔240的侧壁的保护层250、以及形成在(嵌入)半导体衬底210中的多个导电柱260。在一些实施例中,半导体衬底210包括可为经掺杂的或未经掺杂的块状半导体、绝缘体上半导体(semiconductor-on-insulator,SOI)衬底、其他支撑衬底(例如,石英、玻璃等)、其组合等。在一些实施例中,半导体衬底210包含元素半导体(例如,结晶结构、多晶结构或非晶结构等中的硅或锗)、化合物半导体(例如,碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟等)、合金半导体(例如,硅-锗(SiGe)、砷磷化镓(GaAsP)、砷化铝铟(AlInAs)、砷化铝镓(AlGaAs)、砷化镓铟(GaInAs)、磷化镓铟(GaInP)等)、其组合或其他合适的材料。化合物半导体衬底可具有多层结构,或者衬底可包括多层化合物半导体结构。合金SiGe可形成在硅衬底之上。SiGe衬底可进行应变。
在一些实施例中,半导体衬底210包括形成在半导体衬底210中或半导体衬底210上的半导体元件,其中所述半导体元件包括有源器件(例如,晶体管、二极管等)和/或无源器件(例如,电容器、电阻器、电感器等)或其他合适的电气组件(electrical component(s))。在一些实施例中,半导体元件形成在半导体衬底210的靠近内连线结构220的有效表面210a处。在一些实施例中,如图1中所示,半导体衬底210具有有效表面210a及沿着内连线结构220与半导体衬底210的堆叠方向Z与有效表面210a相对的底表面210b,且内连线结构220设置在半导体衬底210的有效表面210a上且覆盖半导体衬底210的有效表面210a。举例来说,堆叠方向Z与方向X及方向Y不同且实质上垂直于方向X及方向Y。
半导体衬底210可包括形成在前端工艺(front-end-of-line,FEOL)中的电路系统(未示出)以及可形成在后端工艺(back-end-of-line,BEOL)中的内连线结构220。在一些实施例中,内连线结构220包括形成在半导体衬底210之上且覆盖半导体元件的层间介电(inter-layer dielectric,ILD)层以及形成在ILD层之上的金属间介电(inter-metallization dielectric,IMD)层。在一些实施例中,ILD层及IMD层由例如以下低介电常数(low-K)介电材料或极低介电常数(extreme low-K,ELK)材料形成:氧化物、二氧化硅、硼磷硅酸盐玻璃(borophosphosilicate glass,BPSG)、磷硅酸盐玻璃(phosphosilicateglass,PSG)、氟化硅酸盐玻璃(fluorinated silicate glass,FSG)、SiOxCy、旋涂玻璃(Spin-On-Glass)、旋涂聚合物、碳化硅材料、其化合物、其复合物、其组合等。ILD层及IMD层可包括不限于此的任何合适数目的介电材料层。
在一些实施例中,内连线结构220以交替方式包括一个或多个介电层222与一个或多个金属化层224。金属化层224可嵌入介电层222中。在一些实施例中,内连线结构220将形成在半导体衬底210中和/或半导体衬底210上的半导体元件彼此电耦合且电耦合到形成在半导体衬底210上的外部组件(例如,测试垫、接合导体等)。举例来说,介电层222中的金属化层224在半导体衬底210的半导体元件之间路由电信号。半导体元件与金属化层224内连以执行包括存储器结构(例如,存储器胞元)、处理结构(例如,逻辑胞元)、输入/输出(I/O)电路系统(例如,I/O胞元)等的一种或多种功能。内连线结构220的最上层可为由例如以下一种或多种合适的介电材料制成的钝化层:氧化硅、氮化硅、低介电常数介电质、聚酰亚胺(polyimide,PI)、其组合等。在一些实施例中,如图1中所示,内连线结构220的钝化层(例如,介电层222的最上层)具有暴露出金属化层224的最顶层的至少一部分的开口,以用于进一步电连接。
介电层222可为PI、聚苯并恶唑(polybenzoxazole,PBO)、苯并环丁烯(benzocyclobutene,BCB)、例如氮化硅等氮化物、例如氧化硅等氧化物、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(borosilicate glass,BSG)、掺杂硼的磷硅酸盐玻璃(boron-dopedphosphosilicate glass,BPSG)、其组合等,可使用光刻和/或刻蚀工艺将介电层222图案化。在一些实施例中,介电层222通过例如以下合适的制作技术形成:旋转涂布、化学气相沉积(chemical vapor deposition,CVD)(例如,等离子体增强型化学气相沉积(plasma-enhanced chemical vapor deposition,PECVD))等。
金属化层224可由通过电镀或沉积形成的导电材料(例如铜、铜合金、铝、铝合金或其组合)制成,可使用光刻及刻蚀工艺将金属化层224图案化。在一些实施例中,金属化层224是图案化铜层或其他合适的图案化金属层。举例来说,金属化层224可为金属线、金属通孔、金属垫、金属迹线等。在说明书通篇中,用语“铜”旨在包括实质上纯的元素铜、含有不可避免的杂质的铜以及含有少量元素(例如钽、铟、锡、锌、锰、铬、钛、锗、锶、铂、镁、铝或锆等)的铜合金。介电层222的数目及金属化层224的数目在本公开中不受限制,且可基于需求及设计布局来选择及指定。
在一些实施例中,如图1中所示,在被内连线结构220的钝化层(例如,介电层222的最上层)暴露出的内连线结构220的金属化层224的最顶层之上设置连接垫230且将连接垫230电耦合到内连线结构220的金属化层224的最顶层,以用于测试和/或进一步电连接。连接垫230可由铝、铜或其合金等制成,且可通过电镀工艺形成。本公开并不仅限于此。连接垫230中的一些连接垫230可为测试垫,且连接垫230中的一些连接垫230可为用于进一步电连接的导电垫。在一些实施例中,为实现简单的结构及成本效益,连接垫230可为可选的。在一些实施例中,连接通孔240可直接连接到最上部的金属化层224。
在一些实施例中,在连接垫230上分别设置连接通孔240且将连接通孔240电连接到连接垫230,以用于提供到电路系统及半导体元件的外部电连接。在一个实施例中,连接通孔240可由导电材料(例如铜、金、铝、类似材料、或其组合)形成且可通过电镀工艺等形成。连接通孔240可为接合通孔、接合垫或接合凸块或者其组合。本公开并不仅限于此。连接通孔240可用作用于进一步电连接的接合导体且可形成在连接垫230(用作用于进一步电连接的导电垫)之上。连接通孔240可通过内连线结构220及连接垫230电耦合到半导体衬底210的半导体元件。
在一些实施例中,在内连线结构220上形成保护层250以覆盖内连线结构220及连接垫230且在侧向上覆盖连接通孔240。也就是说,保护层250防止在第一晶片的转移期间在连接垫230及连接通孔240上发生任何可能的损坏。另外,在一些实施例中,保护层250进一步充当钝化层,以提供更好的平坦化及平整度(evenness)。在一些实施例中,连接通孔240的顶表面240t与保护层250的顶表面250t实质上齐平,以用于进一步电连接,如图1中所示。在一些实施例中,保护层250的顶表面250t及连接通孔240的顶表面240t可被称为半导体管芯200的顶表面200t。举例来说,半导体管芯200的顶表面200t是半导体管芯200的前侧表面FS。
保护层250可包括一层或多层介电材料,例如氮化硅、氧化硅、高密度等离子体(high-density plasma,HDP)氧化物、四乙基正硅酸盐(tetra-ethyl-ortho-silicate,TEOS)、未经掺杂的硅酸盐玻璃(undoped silicate glass,USG)、氮氧化硅、PBO、PI、碳化硅、碳氧氮化硅、类金刚石碳(diamond like carbon,DLC)、类似材料或其组合。应理解,依据工艺要求而定,保护层250可包括插置在介电材料层之间的刻蚀停止材料层(未示出)。举例来说,刻蚀停止材料层与上覆的或下伏的介电材料层不同。刻蚀停止材料层可由相对于上覆的或下伏的介电材料层具有高刻蚀选择性的材料形成,以用于使介电材料层的刻蚀停止。
在一些实施例中,导电柱260嵌入半导体衬底210中。举例来说,导电柱260形成在半导体衬底210中且沿着堆叠方向Z从有效表面210a朝底表面210b延伸。如图1中所示,导电柱260的顶表面260t与半导体衬底210的有效表面210a实质上共面,以与被内连线结构220的介电层222的最低层暴露出的金属化层224的最底层接触。在一些实施例中,导电柱260不会被半导体衬底210的底表面210b以可触及的方式显露出。举例来说,半导体管芯200的底表面210b是半导体管芯200的后侧表面BS。在一些实施例中,导电柱260可从内连线结构220到底表面210b逐渐变细。作为另外一种选择,导电柱260具有实质上垂直的侧壁。
在沿着堆叠方向Z的剖视图中,导电柱260的形状可依据设计要求而定且在本公开中不旨在进行限制。举例来说,在与堆叠方向Z垂直的X-Y平面上的俯视(平面)图中,导电柱260的形状是圆形形状。然而,依据设计要求而定,导电柱260的形状可为卵形形状、矩形形状、多边形形状或其组合;本公开并不仅限于此。
在一些实施例中,导电柱260与在有效表面210a处被内连线结构220的介电层222的最低层暴露出的内连线结构220的金属化层224的最底层实体接触,如图1中所示。也就是说,导电柱260通过内连线结构220电连接到半导体衬底210中的半导体元件,且通过内连线结构220及连接垫230电连接到连接通孔240。导电柱260可由导电材料(例如铜、钨、铝、银、其组合等)形成。
在一些实施例中,导电柱260中的每一者被衬垫270覆盖。举例来说,衬垫270形成在导电柱260与半导体衬底210之间。在一些实施例中,导电柱260中的每一者的侧壁可被相应的一个衬垫270覆盖。在替代实施例中,导电柱260中的每一者的底表面及侧壁可被相应的一个衬垫270覆盖。衬垫270可由障壁材料(例如TiN、Ta、TaN、Ti等)形成。在替代实施例中,介电衬垫(未示出)(例如,氮化硅、氧化物、聚合物、其组合等)可进一步可选地形成在衬垫270与半导体衬底210之间。在一些实施例中,导电柱260、衬垫270及可选的介电衬垫是通过以下方式形成:在半导体衬底210中形成多个凹槽,且在凹槽中分别沉积介电材料、障壁材料及导电材料;移除半导体衬底210上的多余材料。举例来说,使用介电衬垫对半导体衬底210的凹槽进行衬垫,以在侧向上将对导电柱260的侧壁进行衬垫的衬垫270与半导体衬底210隔开。在某些实施例中,导电柱260是通过使用通孔优先方法(via-first approach)形成。在此种实施例中,导电柱260是在形成内连线结构220之前形成。如图1中所示,在一些实施例中,导电柱260通过至少衬垫270与半导体衬底210隔开。作为另外一种选择,可省略衬垫270。或者作为另外一种选择,可省略导电柱260及衬垫270二者。
作为另外一种选择,导电柱260可通过使用通孔最后方法(via-last approach)形成,且可在形成内连线结构220之后形成。本公开并不仅限于此。半导体管芯200中的每一者内的内连线结构220的介电层222的数目及金属化层224的数目、连接垫230的数目、连接通孔240的数目以及导电柱260的数目并不仅限于本公开且可基于需求及设计布局来选择及指定。
继续图1,在一些实施例中,根据图28中的方法1000的步骤S1010,将粘合到粘合膜300的半导体管芯200放置到拾取装置100A上。在一些实施例中,拾取装置100A包括第一框架元件(first frame element)110、第二框架元件(second frame element)120、光发射元件(light emitting element)130、顶出器元件(ejector element)140及收集器元件(collector element)150。粘合膜300可通过装载元件(loading element)400固定到拾取装置100A上,其中装载元件400可设置在第一框架元件110中或第一框架元件110上。如图1中所示,举例来说,通过将装载元件400至少局部地插入第一框架元件110中而将粘合膜300安装到拾取装置100A上,其中粘合膜300的边缘被装载元件400夹持。装载元件400可包括紧固件,例如螺栓、凸缘环等。在一些实施例中,装载元件400还能够移动粘合膜300,以将待拾取的半导体管芯200沿着堆叠方向Z与光发射元件130及收集器元件150对准。在本公开中,待拾取的半导体管芯200在下文中被表示为半导体管芯200A。
如图1中所示,半导体管芯200设置在(例如,实体接触)粘合膜300的顶表面300t上,且粘合膜300的底表面300b抵靠(例如,实体接触)第一框架元件110的顶表面110t。粘合膜300的底表面300b可进一步抵靠(例如,实体接触)第二框架元件120的顶表面120t。举例来说,顶表面300t沿着堆叠方向Z与底表面300b相对。
在一些实施例中,第二框架元件120被第一框架元件110环绕。第一框架元件110可在侧向上与第二框架元件120间隔开。举例来说,第一框架元件110的内侧壁远离第二框架元件120的外侧壁,如图1中所示。作为另外一种选择,第一框架元件110可与第二框架元件120接触。举例来说,第一框架元件110的内侧壁在实体上连接到第二框架元件120的外侧壁。在一些实施例中,第一框架元件110及第二框架元件120独立地由具有足够刚度(可通过其杨氏模量来量化)的材料制成,以用于保护设置在其中的元件且用于支撑设置在其上的元件。第一框架元件110及第二框架元件120的材料可包括介电材料或者介电材料与导电材料的组合。举例来说,第一框架元件110及第二框架元件120由金属材料(例如金属或金属合金)制成。在一个实施例中,第一框架元件110的材料与第二框架元件120的材料相同。在替代实施例中,第一框架元件110的材料与第二框架元件120的材料不同。
第一框架元件110及第二框架元件120可立在基座(未示出)上且可通过基座机械连接到彼此,使得可限定用于容纳光发射元件130及顶出器元件140的容纳空间。举例来说,光发射元件130及顶出器元件140设置在第一框架元件110及第二框架元件120内部。光发射元件130及顶出器元件140可被第二框架元件120的内侧壁环绕且位于第一框架元件110的顶表面110t及第二框架元件120的顶表面120t下方,如图1中所示。换句话说,举例来说,光发射元件130及顶出器元件140位于粘合膜300之下,如图1中所示。
在一些实施例中,光发射元件130包括光源单元(light source unit)132、透镜单元(lens unit)134及具有光出射端口(light exiting port)130a的壳体(housing)136,其中光源单元132及透镜单元134设置在壳体136内部,如图1及图16中所示。在一些实施例中,光源单元132包括以约100J/cm2到约1000J/cm2的强度发射波长为约10nm到约400nm的紫外光(例如,图2中所绘示的L)的紫外光源。举例来说,光源单元132包括发射紫外光的光发射二极管(light emitting diode,LED)灯(LED lamp)。光源单元132可被称为UV擦除器(UVerase)或紫外光发射器(UV light emitter)。在一些实施例中,从光源单元132发射的紫外光具有用于传输的光路径PL且通过穿过光出射端口130a从光发射元件130出射,其中透镜单元134设置在由光源单元132发射的紫外光的光路径PL处且位于光源单元132与壳体136的光出射端口130a之间。也就是说,透镜单元134可光学耦合到光源单元132。在一些实施例中,透镜单元134包括至少一个光学透镜。举例来说,透镜单元134包括亮度增强膜(brightness enhancement film,BEF)、光扩散膜(或光扩散器膜)、任何其他合适的光学透镜或膜、或其组合。本公开并不仅限于此;作为另外一种选择,可省略透镜单元134。
如图1、图16及图17中所示,在X-Y平面上的俯视(平面)图中,光出射端口130a的形状是矩形形状,其中沿着方向X的第一侧向尺寸(lateral size)L5近似介于从5mm到50mm的范围内,且沿着方向Y的第二侧向尺寸L6近似介于从5mm到50mm的范围内。然而,依据设计要求而定,光出射端口130a的形状可为卵形形状、圆形形状、椭圆形形状或正方形形状,只要光出射端口130a的总面积能够满足由第一侧向尺寸L5与第二侧向尺寸L6限定的面积要求即可;本公开并不仅限于此。如图1中所示,举例来说,光出射端口130a面朝第一框架元件110的顶表面110t及第二框架元件120的顶表面120t且远离粘合膜300的底表面300b。在一些实施例中,光出射端口130a与粘合膜300的底表面300b相距距离D1,其中距离D1近似介于从1mm到10mm的范围内,但作为另外一种选择可利用其他合适的厚度。
在一些实施例中,顶出器元件140包括电动机(motor)141、销卡盘(pin chuck)142、多个销143及壳体144,其中电动机141、销卡盘142及销143设置在壳体144内部,如图1及图18中所示。在一些实施例中,销卡盘142设置在电动机141上,且销143设置在销卡盘142上且局部嵌入销卡盘142中,以与电动机141连接。举例来说,电动机141被配置成在制造半导体封装SP1期间根据需要相对于壳体144的顶表面144t来提升及降低销143,以控制销143的运动。销143可被称为提升销(lifting pin)或拾取销(pick-up pin)。
销143可局部地从壳体144的顶表面144t突出且可面朝第一框架元件110的顶表面110t及第二框架元件120的顶表面120t。举例来说,销143的一些部分的端部143e从壳体144突出且位于壳体144的顶表面144t与第一框架元件110的顶表面110t及第二框架元件120的顶表面120t之间。在一些实施例中,壳体144的顶表面144t具有中心区R1及环绕中心区R1的外围区R2。如图19中所示,中心区R1可被外围区R2包围。销143可排列成阵列。在一些实施例中,销143以矩阵的形式排列,例如沿着方向X及方向Y的N’×N’阵列或N’×M’阵列(N’、M’>0,N’可等于或可不等于M’)。在一些实施例中,排列成紧邻的行和/或列的销143以对准方式(例如,阵列形式)定位在X-Y平面上,如图19中所示。在替代实施例中,排列成紧邻的行和/或列的销143以交错方式(例如,交错形式)定位在X-Y平面上,如图20中所示。在进一步的替代实施例中,销143以同心方式排列成预定图案,其中至少一个销143位于中心区R1(例如,其中心)处且被位于X-Y平面上的外围区R2处的其他销143环绕,并且位于外围区R2处的销143呈径向排列(radial arrangement)形式,如图21中所示。
在一些实施例中,设置在中心区R1中的销143与设置在外围区R2中的销143同时向上移动。在替代实施例中,设置在中心区R1中的销143同时向上移动;此后,设置在外围区R2中的销143同时向上移动。在进一步的替代实施例中,设置在外围区R2中的销143同时向上移动;此后,设置在中心区R1中的销143同时向上移动。在又一些替代实施例中,销143在从中心区R1的中心到外围区R2的外边缘的方向上逐渐向上移动。作为另外一种选择,销143在从外围区R2的外边缘到中心区R1的中心的方向上逐渐向上移动。在一些其他实施例中,仅设置在中心区R1中的销143向上移动;或者仅设置在外围区R2中的销143向上移动。然而,本公开并不仅限于此,在以上实施例中,中心区R1中的销143可部分或全部向上移动,且外围区R2中的销143可部分或全部向上移动。
如图1、图18及图19中所示,在X-Y平面上的俯视(平面)图中,顶出器元件140的形状是矩形形状,其中沿着方向X的第一侧向尺寸L7近似介于从20mm到40mm的范围内,且沿着方向Y的第二侧向尺寸L8近似介于从20mm到40mm的范围内。然而,依据设计要求而定,顶出器元件140的形状可为卵形形状、圆形形状、椭圆形形状或正方形形状,只要顶出器元件140的总面积能够满足由第一侧向尺寸L7与第二侧向尺寸L8限定的面积要求即可;本公开并不仅限于此。
在一些实施例中,销卡盘142的材料、销143的材料及壳体144的材料独立地包括金属材料,例如金属或金属合金。举例来说,销卡盘142、销143及壳体144可独立地由铁(Fe)、铬(Cr)、镍(Ni)、铝(Al)、不锈钢(stainless steel)、其组合等制成。销卡盘142的材料、销143的材料及壳体144的材料可相同。本公开并不仅限于此;作为另外一种选择,销卡盘142的材料、销143的材料及壳体144的材料可部分或全部不同。
在一些实施例中,收集器元件150包括本体(body)152、嵌入本体152中的沟道(channel)154以及连接到沟道154的真空元件(vacuum element)(未示出),如图1中所示。举例来说,真空元件被配置成向沟道154提供真空力(例如,产生负压)以用于拾取半导体管芯200A。沟道154可被称为真空路径或真空沟道。举例来说,沟道154在本体152的表面S1处具有开口孔(未标记,具有宽度W1),其中表面S1面对半导体管芯200,如图1中所示。在一些实施例中,本体152的材料包括金属材料,例如金属或金属合金。本体152的材料可与销卡盘142的材料、销143的材料及壳体144的材料相同。本公开并不仅限于此;作为另外一种选择,本体152的材料与销卡盘142的材料、销143的材料及壳体144的材料可部分或全部不同。
参照图2,在一些实施例中,根据图28中的方法1000的步骤S1020,将紫外光L辐射到粘合膜300上。在一些实施例中,粘合膜300的底表面300b被紫外光L局部辐射以形成粘合膜300’,其中粘合膜300的未暴露于紫外光L的一部分形成粘合膜300’的第一部分310,且粘合膜300的暴露于紫外光L的一部分形成粘合膜300’的第二部分320。如图2中所示,举例来说,粘合膜300’是连续膜,且粘合膜300’的第一部分310与第二部分320连接到(例如,实体接触)彼此。参照图2及图15,第二部分320可被第一部分310环绕。由于第二部分320被固化,第二部分320失去其粘合性质,因此第二部分320与设置在第二部分320上的半导体管芯200(例如,半导体管芯200A)的粘着充分降低,而第一部分310与设置在第一部分310上的半导体管芯200(例如,半导体管芯200A)的粘着保持不变(由于第一部分310未暴露于紫外光L)。
紫外光L对粘合膜300的辐射(例如,紫外光曝光或(UV)辐射工艺)可通过但不限于以下方式来执行:开启光发射元件130以发射紫外光L,在室温(例如,大约20摄氏度到25摄氏度)下将粘合膜300暴露于紫外光L达约0秒(例如,大于或等于0秒)到约10秒(例如,小于或等于10秒)的处理时间,因此完全固化粘合膜300的暴露于紫外光L的所述一部分(因此形成第二部分320),且关闭光发射元件130。举例来说,紫外光L的波长近似介于从10nm到400nm的范围内且强度近似介于100J/cm2到1000J/cm2的范围内。
在一些实施例中,在开启光发射元件130之前,光发射元件130在半导体管芯200A正下方移动,使得半导体管芯200A的中心实质上与光发射元件130的中心对准,其中此种配置可被认为是拾取工艺的适当配置。拾取工艺的此种适当配置可被称为第一配置。在使用第一配置的情况下,光发射元件130与半导体管芯200A可沿着堆叠方向Z彼此交叠,如图2中所示。在一些实施例中,拾取装置100A还包括移动机构(moving mechanism),其中光发射元件130连接到移动机构(未示出)以控制光发射元件130的移动。举例来说,移动机构被配置成使光发射元件130沿着堆叠方向Z垂直移动和/或沿着方向X和/或方向Y水平移动。移动机构可包括机械臂。
在某些实施例中,在辐射期间,光发射元件130、半导体管芯200A及收集器元件150以第一配置进行定位。也就是说,举例来说,光发射元件130的中心、半导体管芯200A的中心及收集器元件150的中心沿着堆叠方向Z实质上彼此对准。如图2中所示,光发射元件130、半导体管芯200A及收集器元件150可沿着堆叠方向Z彼此交叠。
如图2及图15中所示,出于例示目的,在俯视(平面)图中强调包括半导体管芯200A以及粘合膜300’的第二部分320的某些结构特征。在一些实施例中,在X-Y平面上的俯视(平面)图中,半导体管芯200A的形状是矩形形状,其中沿着方向X的第一侧向尺寸L1近似介于从1mm到10mm的范围内,且沿着方向Y的第二侧向尺寸L2近似介于从1mm到10mm的范围内。依据设计要求而定,半导体管芯200A的形状可为卵形形状、圆形形状、椭圆形形状或正方形形状;本公开并不仅限于此。在一些实施例中,在X-Y平面上的俯视(平面)图中,第二部分320的形状是具有沿着方向X的第一侧向尺寸L3与沿着方向Y的第二侧向尺寸L4的矩形形状。举例来说,第二部分320的第一侧向尺寸L3与半导体管芯200A的第一侧向尺寸L1的比率近似介于从0.9到1.1的范围内。举例来说,第二部分320的第二侧向尺寸L4与半导体管芯200A的第二侧向尺寸L2的比率近似介于从0.9到1.1的范围内。依据设计要求而定,第二部分320的形状可为卵形形状、圆形形状、椭圆形形状或正方形形状;本公开并不仅限于此。
如图2中所示,第二部分320的第一侧向尺寸L3可大于半导体管芯200A的第一侧向尺寸L1,且第二部分320的第二侧向尺寸L4可大于半导体管芯200A的第二侧向尺寸L2。作为另外一种选择,第二部分320的第一侧向尺寸L3可等于半导体管芯200A的第一侧向尺寸L1,且第二部分320的第二侧向尺寸L4可等于半导体管芯200A的第二侧向尺寸L2。或者作为另外一种选择,第二部分320的第一侧向尺寸L3可小于半导体管芯200A的第一侧向尺寸L1,且第二部分320的第二侧向尺寸L4可小于半导体管芯200A的第二侧向尺寸L2。在一些实施例中,第二部分320的第一侧向尺寸L3及第二侧向尺寸L4分别独立地小于、大于或等于半导体管芯200A的第一侧向尺寸L1及第二侧向尺寸L2;只要其满足上述比率的要求即可。在使用上述比率的情况下,在辐射之后,第二部分320与设置在第二部分320上的半导体管芯200(例如,半导体管芯200A)的粘着充分降低,从而便于从第二部分320对半导体管芯200(例如,半导体管芯200A)的拾取。
参照图3,在一些实施例中,顶出器元件140相对于半导体管芯200A以第一配置进行定位。举例来说,顶出器元件140的中心实质上与半导体管芯200A的中心沿着堆叠方向Z对准。顶出器元件140与半导体管芯200A可沿着堆叠方向Z彼此交叠,如图3中所示。在一些实施例中,拾取装置100A还包括移动机构,其中顶出器元件140连接到移动机构(未示出)以控制顶出器元件140的移动。举例来说,移动机构被配置成使顶出器元件140沿着堆叠方向Z垂直移动和/或沿着方向X和/或方向Y水平移动。移动机构可包括机械臂。
在某些实施例中,顶出器元件140、半导体管芯200A及收集器元件150以第一配置进行定位。也就是说,举例来说,顶出器元件140的中心、半导体管芯200A的中心及收集器元件150的中心实质上沿着堆叠方向Z彼此对准。顶出器元件140、半导体管芯200A及收集器元件150可沿着堆叠方向Z彼此交叠,如图3中所示。
在一些实施例中,在使顶出器元件140相对于半导体管芯200A以第一配置进行定位之前,将光发射元件130重新定位成第二配置,其中在使用第二配置的情况下,光发射元件130与半导体管芯200A偏置开(例如,不交叠)。举例来说,光发射元件130的定位位置在沿着堆叠方向Z的垂直投影中远离半导体管芯200A的定位位置(例如,与半导体管芯200A的定位位置间隔开),如图3中所示。
参照图4,在一些实施例中,根据图28中的方法1000的步骤S1030,将粘合膜300’向上提升以使半导体管芯200A至少局部地从粘合膜300’脱离。在一些实施例中,将顶出器元件140的销143向上提升,直到第二部分320被向上推动到第二部分320与第一部分310之间存在高度差的位置。举例来说,销143的端部143e与粘合膜300’的第二部分320的底表面300b实体接触。在使用此种提升工艺的情况下,第二部分320的抵靠到销143的多个部分仍与半导体管芯200A的后侧表面BS接触,而第二部分320的不接触销143的其余部分(例如,通过间隙G)从半导体管芯200A的后侧表面BS脱离,如图4中所示。由于顶出器元件140,第二部分320与设置在第二部分320上的半导体管芯200(例如,半导体管芯200A)的粘着进一步降低,从而便于从第二部分320对半导体管芯200(例如,半导体管芯200A)的拾取。前面已在图18到图21中阐述了销143的移动,且因此为简洁起见在本文中不再重复。在一些实施例中,在辐射工艺之后的0秒(例如,大于或等于0秒)到10秒(例如,小于或等于10秒)执行提升工艺。
参照图5及图6,在一些实施例中,根据图28中的方法1000的步骤S1040,通过收集器元件150从粘合膜300’移除(例如,拾取)半导体管芯200A。举例来说,收集器元件150沿着堆叠方向Z向下移动,直到收集器元件150的表面S1与半导体管芯200A的前侧表面FS(实体)接触,且收集器元件150通过沟道154对半导体管芯200A施加真空力,使得半导体管芯200A通过吸力(suction force)被收集器元件150固持,如图5中所示。当半导体管芯200A由收集器元件150固持时,半导体管芯200A与收集器元件150之间存在直接接触。收集器元件150可被称为接触模式收集器。此后,收集器元件150沿着堆叠方向Z向上移动,且然后顶出器元件140的销143降低到其初始位置,其中第二部分320也降低回其初始位置,在所述初始位置中第二部分320与第一部分310之间不存在高度差,从而使半导体管芯200A的后侧表面BS从粘合膜300’的第二部分320的顶表面300t完全脱离,例如如图6中所示。由于光发射元件130及顶出器元件140,第二部分320与设置在第二部分320上的半导体管芯200(例如,半导体管芯200A)之间的脱离强度大大降低,用于固持半导体管芯200(例如,半导体管芯200A)的收集器元件150的表面S1与半导体管芯200(例如,半导体管芯200A)之间的接触力可降低,从而可抑制和/或避免由收集器元件150的表面S1上的残余物(例如,不期望的颗粒)对半导体管芯200(例如,半导体管芯200A)(例如,前侧表面FS)造成的损坏。另外,由于拾取装置100A的光发射元件130及顶出器元件140,第二部分320与设置在第二部分320上的半导体管芯200(例如,半导体管芯200A)之间的脱离强度大大降低,从而便于从第二部分320对半导体管芯200(例如,半导体管芯200A)的拾取且抑制对半导体管芯200(例如,半导体管芯200A)的损坏(例如裂纹)。
在一些实施例中,拾取装置100A还包括移动机构,其中收集器元件150连接到移动机构(未示出)以控制收集器元件150的移动。举例来说,移动机构被配置成使收集器元件150沿着堆叠方向Z垂直移动和/或沿着方向X和/或方向Y水平移动。移动机构可包括机械臂。在一些实施例中,通过使用光学显微镜(例如,检测半导体管芯200A上的对准标记的光反射强度)确定半导体管芯200A的位置而使收集器元件150精确地移动到正好上覆在半导体管芯200A上的位置(例如,相对于半导体管芯200A的第一配置)。换句话说,拾取装置100A可包括集成在收集器元件150中的一个或多于一个光学显微镜或者靠近收集器元件150安装到移动机构上的一个或多于一个光学显微镜。对准标记可包括形成在半导体管芯200A上或半导体管芯200A中的一个或多个金属图案。
同时参照图6与图7,在一些实施例中,在通过收集器元件150使半导体管芯200A从粘合膜300’脱离(例如,从粘合膜300’剥离)之后,将收集器元件150与由收集器元件150固持的半导体管芯200A翻转(上下颠倒)。举例来说,然后使半导体管芯200A的后侧表面BS面朝上。
参照图8,在一些实施例中,提供接合器元件(bonder element)650并将接合器元件650放置在半导体管芯200A之上,以用于从收集器元件150拾取半导体管芯200A。在一些实施例中,接合器元件650包括本体652、嵌入本体652中的沟道654以及连接到沟道654的真空元件(未示出),如图8中所示。举例来说,真空元件被配置成向沟道654提供真空力(例如,产生负压)以用于拾取半导体管芯200A。沟道654可被称为真空路径或真空沟道。举例来说,沟道654在本体652的表面S3处具有开口孔,其中表面S3面对半导体管芯200A,如图8中所示。在一些实施例中,本体652的材料包括金属材料,例如金属或金属合金。本体652的材料可与本体152的材料相同。本公开并不仅限于此;作为另外一种选择,本体652的材料可与本体152的材料不同。
在一些实施例中,接合器元件650的表面S3与半导体管芯200A的后侧表面BS接触,且接合器元件650通过沟道654对半导体管芯200A施加真空力,使得半导体管芯200A由接合器元件650通过直接接触固持,如图8中所示。在一些实施例中,通过使用光学显微镜(例如,检测半导体管芯200A上的对准标记的光反射强度)确定半导体管芯200A的位置而使接合器元件650精确地移动到正好上覆在半导体管芯200A上的位置(例如,相对于半导体管芯200A的第一配置)。换句话说,拾取装置100A可包括集成在接合器元件650中的一个或多于一个光学显微镜或者靠近接合器元件650安装到移动机构上的一个或多于一个光学显微镜。对准标记可包括形成在半导体管芯200A上或半导体管芯200A中的一个或多个金属图案。在一些实施例中,拾取装置100A还包括移动机构,其中接合器元件650连接到移动机构(未示出)以控制接合器元件650的移动。举例来说,移动机构被配置成使接合器元件650沿着堆叠方向Z垂直移动和/或沿着方向X和/或方向Y水平移动。移动机构可包括机械臂。
同时参照图8与图9,在一些实施例中,在半导体管芯200A由接合器元件650通过后侧表面BS牢固地固持之后,通过从收集器元件150的表面S1释放半导体的前侧表面FS而使收集器元件150释放半导体管芯200A。接合器元件650可被称为接合头(bonder head)。
在一些实施例中,在半导体管芯200A通过如图1到图6中阐述的拾取装置100A从临时固持元件(例如粘合膜300)被拾取且被进一步转移成由如图7到图9中阐述的接合器元件650固持之后,将半导体管芯200A放置在用于制造如图11中所绘示的半导体封装SP1的载体(例如,图10中所绘示的载体1120)之上。
参照图10,在一些实施例中,提供载体1120且在载体1120之上设置重布线电路结构1200、多个导电柱1300及半导体管芯200A。前面已在图1中阐述了半导体管芯200A的细节,且因此为简洁起见在本文中不再重复。在一个实施例中,载体1120可为玻璃载体或承载用于半导体封装制造方法的半导体晶片或重构晶片的任何合适的载体。在替代实施例中,载体1120可为用于半导体封装制造方法的回收晶片(reclaim wafer)或重构晶片。举例来说,当载体1120的材料是Si衬底,载体1120可用作半导体封装SP1的散热元件。在此种实施例中,载体1120可进一步用于翘曲控制。在制造半导体封装之后移除载体1120的一些替代实施例中,载体1120可进一步涂覆有剥离层1140。举例来说,剥离层1140设置在载体1120上,且剥离层1140的材料可为适合将载体1120与上方的层(例如,缓冲层)或设置在载体1120上的任何晶片进行接合及剥离的任何材料。在一些实施例中,剥离层1140可包括释放层(例如光热转换(light-to-heat conversion,“LTHC”)层)或粘合层(例如紫外固化粘合层或热固化粘合层)。
在一些实施例中,在载体1120上形成重布线电路结构1200。重布线电路结构1200的形成可包括以交替方式依序形成一个或多个聚合物介电层1220与一个或多个金属化层1240。举例来说,如图10中所示,重布线电路结构1200包括两个聚合物介电层1220及一个金属化层1240;然而,本公开并不仅限于此。重布线电路结构1200中所包括的金属化层的数目及聚合物介电层的数目并不仅限于此。举例来说,金属化层的数目及聚合物介电层的数目可为一个或多于一个。由于聚合物介电层1220及金属化层1240的配置,为半导体封装SP1提供了布线功能。
举例来说,如图10中所示,金属化层1240设置在载体1120之上且夹置在聚合物介电层1220之间,其中金属化层1240的顶表面的一些部分被聚合物介电层1220的最顶层暴露出,且金属化层1240的底表面被聚合物介电层1220的最底层覆盖。在一些实施例中,聚合物介电层1220的材料可包括聚酰亚胺、环氧树脂、丙烯酸树脂、酚醛树脂、苯并环丁烯(BCB)、聚苯并恶唑(PBO)或任何其他合适的聚合物系介电材料,且聚合物介电层1220可通过沉积形成。在一些实施例中,金属化层1240的材料可包括铝、钛、铜、镍、钨和/或其合金,且金属化层1240可通过电镀或沉积形成。本公开并不仅限于此。
在一些实施例中,如图10中所示,在重布线电路结构1200上形成导电柱1300。举例来说,导电柱1300实体连接到金属化层1240的顶表面的被聚合物介电层1220的最顶层暴露出的部分。换句话说,导电柱1300电连接到重布线电路结构1200。在一些实施例中,导电柱1300可为集成扇出型(integrated fan-out,InFO)穿孔。为简明起见,出于例示目的而在图10中仅呈现两个导电柱1300,然而应注意,可形成多于两个的穿孔;本公开并不仅限于此。可基于需求选择导电柱1300的数目。
在一些实施例中,通过光刻、镀覆、光刻胶剥离工艺或任何其他合适的方法形成导电柱1300。在一个实施例中,可通过以下方式形成导电柱1300:形成覆盖重布线电路结构1200的掩模图案(未示出),所述掩模图案具有暴露出由聚合物介电层1220的最顶层暴露出的金属化层1240的顶表面的多个开口,通过电镀或沉积形成对所述多个开口进行填充的金属材料以形成导电柱1300,且然后移除掩模图案。在一个实施例中,导电柱1300的材料可包括金属材料,例如铜或铜合金等。然而,本公开并不仅限于此。
在一些实施例中,如图10中所示,半导体管芯200A通过接合器元件650放置在重布线电路结构1200上。在放置之后,可从接合器元件650释放半导体管芯200A,以完全显露出半导体管芯200A的后侧表面BS。在一些实施例中,在放置期间,采用接合器元件650的光学显微镜(例如,检测重布线电路结构1200上的对准标记的光反射强度)确定用于设置半导体管芯200A的位置。对准标记可包括形成在重布线电路结构1200上或重布线电路结构1200中的一个或多个金属图案。出于例示目的而在图10中仅示出一个半导体管芯200A,但半导体管芯200A的数目可基于需求及设计要求而为一个或多个。
此后,可将半导体管芯200A接合到重布线电路结构1200。在一些实施例中,执行接合工艺以将半导体管芯200A接合到重布线电路结构1200。举例来说,半导体管芯200A与重布线电路结构1200之间的接合界面(未标记)包括金属对金属接合(例如,铜对铜接合)及介电质对介电质接合(例如,氧化物对氧化物接合、氧化物对氮化物接合或氮化物对氮化物接合)。也就是说,接合工艺包括例如混合接合(hybrid bonding)工艺。在一些实施例中,通过直接金属对金属接合将半导体管芯200A的连接通孔240与重布线电路结构1200的金属化层1240接合在一起,且通过直接介电质对介电质接合将半导体管芯200A的保护层250与重布线电路结构1200的聚合物介电层1220的最顶层接合在一起。在本公开中,接合界面(bonding interface)可被称为混合接合界面。换句话说,半导体管芯200A电连接到重布线电路结构1200,且导电柱1300中的至少一些导电柱1300通过重布线电路结构1200电连接到半导体管芯200A。重布线电路结构1200可被称为半导体管芯200A的前侧重布线层(front-side redistribution layer)。
在一些实施例中,在堆叠方向Z上,半导体管芯200A的厚度可大于导电柱1300的高度,如图10中所示。然而,本公开并不仅限于此。在替代实施例中,在堆叠方向Z上,半导体管芯200A的厚度可小于或实质上等于导电柱1300的高度。如图10中所示,可在形成导电柱1300之前拾取半导体管芯200A并将半导体管芯200A放置在重布线电路结构1200上。然而,本公开并不仅限于此。在替代实施例中,可在形成导电柱1300之后拾取半导体管芯200A并将半导体管芯200A放置在重布线电路结构1200上。导电柱1300的横截面形状可基于需求来选择且并不仅限于本公开的实施例。
参照图11,在一些实施例中,将半导体管芯200A及导电柱1300包封在绝缘包封体1400中,且在绝缘包封体1400上依序形成重布线电路结构1500与多个导电端子1600。在一些实施例中,重布线电路结构1500通过导电柱1300及重布线电路结构1200电连接到半导体管芯200A。在一些实施例中,导电端子1600中的一些导电端子1600通过重布线电路结构1500、导电柱1300及重布线电路结构1200电连接到半导体管芯200A。
绝缘包封体1400的形成可包括但不限于:在载体1120之上(例如,在重布线电路结构1200上)形成绝缘包封体1400,以覆盖重布线电路结构1200并包封半导体管芯200A及导电柱1300(例如,直到重布线电路结构1200、半导体管芯200A及导电柱1300被绝缘包封体1400覆盖并嵌入绝缘包封体1400中),且然后将绝缘包封体1400平坦化(例如,直到半导体管芯200A的导电柱260的表面260b及导电柱1300的表面1300t被绝缘包封体1400的表面1400t暴露出)。在一些实施例中,绝缘包封体1400是通过模制工艺形成的模制化合物,且绝缘包封体1400的材料可包括环氧树脂或其他合适的树脂。举例来说,绝缘包封体1400可为含有化学填料的环氧树脂。在绝缘包封体1400的平坦化工艺期间,也可将半导体管芯200A的半导体衬底210、导电柱260和/或衬垫270和/或导电柱1300平坦化。举例来说,绝缘包封体1400可通过机械研磨工艺或化学机械抛光(chemical mechanical polishing,CMP)工艺形成。在平坦化工艺之后,可以可选地执行清洁步骤,例如清洁及移除平坦化步骤产生的残余物。然而,本公开并不仅限于此,且平坦化步骤可通过任何其他合适的方法来执行。
重布线电路结构1500的形成可包括但不限于形成交替堆叠的多个聚合物介电层1520与多个金属化层1540。聚合物介电层1520的形成及材料可与图10中阐述的聚合物介电层1220的形成及材料相同或相似,且金属化层1540的形成及材料可与图10中阐述的金属化层1240的形成及材料相同或相似,且因此为简洁起见在本文中不再重复。在某些实施例中,金属化层1540的最顶层可包括多个垫。在此种实施例中,上述垫可包括用于球安装的多个球下金属(under-ball metallurgy,UBM)图案1540a。然而,本公开并不仅限于此。在替代实施例中,金属化层1540中的最顶部金属化层1540可包括用于球安装的多个UBM图案1540a和/或用于安装其他半导体组件的多个连接垫(未示出),且根据本公开,UBM图案1540a的数目及连接垫的数目不受限制。在一些实施例中,重布线电路结构1500可被称为半导体管芯200A的后侧重布线层(back-side redistribution layer)。
在一些实施例中,可通过植球工艺和/或回焊工艺或其他合适的形成方法将导电端子1600放置在UBM图案1540a上。在一些实施例中,导电端子1600可为球栅阵列(ballgrid array,BGA)连接件、焊料球、金属柱、受控塌陷芯片连接(controlled collapse chipconnection,C4)凸块、微凸块、无电镀镍钯浸金技术(electroless nickel-electrolesspalladium-immersion gold technique,ENEPIG)形成的凸块等。导电端子1600的材料例如可包括导电材料,例如焊料、铜、铝、金、镍、银、钯、锡、类似材料或其组合。在一个实施例中,导电端子1600的材料例如可为无焊料的。在一些实施例中,通过重布线电路结构1500、UBM图案1540a、导电柱1300及重布线电路结构1200,导电端子1600中的一些导电端子1600电连接到半导体管芯200A。在一些实施例中,通过重布线电路结构1500及UBM图案1540a,导电端子1600中的一些导电端子1600电连接到导电柱1300。在一些实施例中,通过重布线电路结构1500、UBM图案1540a及导电柱1300,导电端子1600中的一些导电端子电连接到重布线电路结构1200。在某些实施例中,导电端子1600中的一些导电端子1600可电浮动或接地,本公开并不仅限于此。
在形成导电端子1600之后,在一些实施例中,执行切割工艺以切穿重布线电路结构1500、绝缘包封体1400及重布线电路结构1200,以获得各别且分离的多个半导体封装SP1。在一个实施例中,切割工艺是包括机械刀片锯切或激光切分的晶片切割工艺。至此,半导体封装SP1的制造完成。在切割工艺期间,在切分工件之前采用固持器件(未示出)来固定工件,所述工件包括彼此内连的多个半导体封装SP1,以防止由后续工艺或运输造成的损坏。举例来说,固持器件可为粘合胶带、载体膜或吸垫(suction pad)。作为另外一种选择,在切割工艺之后,可通过剥离工艺将载体1120从重布线电路结构1200拆离,其中载体1120及剥离层1140可被移除且重布线电路结构1200可被暴露出。半导体封装SP1可被称为InFO封装。
基于设计布局及需求,通过导电端子1600和/或其他附加连接件,半导体封装SP1可进一步安装有电路衬底、中介层(interposer)、附加封装、芯片/管芯或其他电子器件,以形成堆叠封装结构(stacked package structure)。出于例示目的,以下提供实例(例如,图12所示半导体封装SP2、图13所示半导体封装SP3及图14所示半导体封装SP4),但本公开并不仅限于此。与前面阐述的元件相似或实质上相同的元件将使用相同的参考编号,且相同元件的某些细节或说明(例如,材料、形成工艺、定位配置等)在本文中将不再重复。
参照图12,在一些实施例中,提供衬底5000。在一些实施例中,衬底5000包括多个接触垫5100、多个接触垫5200、多个金属化层5300及多个通孔(未示出)。在一些实施例中,接触垫5100与接触垫5200分别分布在衬底5000的两个相对的侧上且被暴露出以用于与稍后形成的元件/特征电连接。在一些实施例中,金属化层5300及通孔嵌入衬底5000中且一同为衬底5000提供布线功能,其中金属化层5300及通孔电连接到接触垫5100及接触垫5200。也就是说,接触垫5100中的至少一些接触垫5100通过金属化层5300及通孔电连接到接触垫5200中的一些接触垫5200。在一些实施例中,接触垫5100及接触垫5200可包括金属垫或金属合金垫。在一些实施例中,金属化层5300及通孔的材料可与接触垫5100和/或5200的材料实质上相同或相似,且因此为简明起见在本文中不再重复。
在一些实施例中,如图12中所示,通过实体连接导电端子1600与接触垫5100,将图11中所绘示的半导体封装SP1接合到衬底5000,以形成具有堆叠结构的半导体封装SP2,其中半导体封装SP1实体连接到及电连接到衬底5000。前面已在图1到图11中阐述了半导体封装SP1的细节,因此在本文中不再重复。在一些实施例中,衬底5000被称为电路衬底,例如有机柔性衬底或印刷电路板。在此种实施例中,导电端子1600是例如芯片连接件或BGA球。
在一些实施例中,在衬底5000上形成有多个导电端子6000。如图12中所示,举例来说,导电端子6000连接到衬底5000的接触垫5200。换句话说,导电端子6000通过接触垫5200电连接到衬底5000。通过接触垫5100及接触垫5200,导电端子6000中的一些导电端子6000电连接到半导体封装SP1(例如,包括在其中的半导体管芯200A)。在一些实施例中,导电端子6000是例如焊料球或BGA球。在一些实施例中,通过倒装芯片接合,实体连接导电端子1600与衬底5000的接触垫5100而将半导体封装SP1接合到衬底5000。半导体封装SP2可被称为倒装芯片封装(具有InFO封装)。
参照图13,在一些实施例中,提供电路元件2000。在一些实施例中,电路元件2000包括核心部分2100、多个通孔2200、重布线电路结构2300、重布线电路结构2400、焊料掩模层2520a、焊料掩模层2520b、多个接合垫2540a及多个接合垫2540b。在一些实施例中,核心部分2100可包括块状硅衬底,例如单晶硅的块状衬底、经掺杂硅衬底、未经掺杂硅衬底或SOI衬底,其中经掺杂硅衬底的掺杂剂可为N型掺杂剂、P型掺杂剂或其组合。在一些实施例中,通孔2200是穿透核心部分2100的硅穿孔(through silicon via)。在本公开中,电路元件2000被称为中介层(参见图13)。
在一些实施例中,在核心部分2100的两个相对的侧上分别设置有重布线电路结构2300及重布线电路结构2400,如图13中所示。在一些实施例中,重布线电路结构2300和/或重布线电路结构2400电连接到穿透核心部分2100的通孔2200。在一些实施例中,嵌入有通孔2200的核心部分2100位于重布线电路结构2300与重布线电路结构2400之间。通过通孔2200,重布线电路结构2300与重布线电路结构2400电连接到彼此。
在一些实施例中,重布线电路结构2300包括以交替方式依序形成一个或多个介电层2320与一个或多个金属化层2340,其中一个金属化层2340夹置在两个介电层2320之间。如图13中所示,金属化层2340的最顶层的顶表面的一些部分分别被形成在介电层2320的最顶层中的多个开口暴露出,以用于与其他导电特征连接,且金属化层2340的最底层的底表面的一些部分分别被形成在介电层2320的最底层中的多个开口暴露出,以用于与通孔2200连接。重布线电路结构2300中所包括的介电层2320的数目及金属化层2340的数目并不仅限于此,且可基于需求来指定及选择。
在一些实施例中,重布线电路结构2400包括以交替方式依序形成一个或多个介电层2420与一个或多个金属化层2440,其中一个金属化层2440夹置在两个介电层2420之间。如图13中所示,金属化层2440的最顶层的顶表面的一些部分分别被形成在介电层2420的最顶层中的多个开口暴露出,以用于与通孔2200连接,且金属化层2440的最底层的底表面的一些部分分别被形成在介电层2420的最底层中的多个开口暴露出,以用于与其他导电特征连接。重布线电路结构2400中所包括的介电层2420的数目及金属化层2440的数目并不仅限于此,且可基于需求来指定及选择。
在某些实施例中,介电层2320的材料及介电层2420的材料可为PI、PBO、BCB、例如氮化硅等氮化物、例如氧化硅等氧化物、PSG、BSG、BPSG、其组合等,可使用光刻和/或刻蚀工艺将介电层2320及介电层2420图案化。在一些实施例中,介电层2320及介电层2420是通过合适的制作技术(例如旋转涂布、CVD、PECVD等)形成。本公开并不仅限于此。在一个实施例中,介电层2320的材料与介电层2420的材料可相同。在替代实施例中,介电层2320的材料与介电层2420的材料可不同。
在某些实施例中,金属化层2340的材料及金属化层2440的材料可由通过电镀或沉积形成的导电材料(例如铝、钛、铜、镍、钨和/或其合金)制成,可使用光刻及刻蚀工艺将金属化层2340及金属化层2440图案化。在一些实施例中,金属化层2340及金属化层2440可为图案化铜层或其他合适的图案化金属层。在一个实施例中,金属化层2340的材料与金属化层2440的材料可相同。在替代实施例中,金属化层2340的材料与金属化层2440的材料可不同。
在一些实施例中,接合垫2540a设置在重布线电路结构2300的表面上且实体连接到被形成在介电层2320的最顶层中的开口暴露出的金属化层2340的最顶层的顶表面的部分,其中接合垫2540a通过形成在设置有接合垫2540a的重布线电路结构2300的表面上的焊料掩模层2520a而彼此实体隔开。通过重布线电路结构2300,接合垫2540a电连接到嵌入核心部分2100中的通孔2200。
在一些实施例中,接合垫2540b设置在重布线电路结构2400的表面上且实体连接到被形成在介电层2420的最底层中的开口暴露出的金属化层2440的最底层的底表面的部分,其中接合垫2540b通过形成在设置有接合垫2540b的重布线电路结构2400的表面上的焊料掩模层2520b而彼此实体隔开。通过重布线电路结构2400,接合垫2540b电连接到嵌入核心部分2100中的通孔2200。
如图13中所示,举例来说,接合垫2540a电连接到重布线电路结构2300且接合垫2540b电连接到重布线电路结构2400。在一些实施例中,接合垫2540a及接合垫2540b可包括凸块下金属(under bump metallurgy,UBM),然而本公开并不仅限于此。如图13中所示,举例来说,接合垫2540a与接合垫2540b通过通孔2200、重布线电路结构2300及重布线电路结构2400电连接到彼此。
在替代实施例中,可从电路元件2000省略重布线电路结构2300及重布线电路结构2400中的一者或两者,本公开并不仅限于此。也就是说,举例来说,电路元件2000可包括核心部分2100、多个通孔2200、焊料掩模层2520a、焊料掩模层2520b、多个接合垫2540a及多个接合垫2540b,其中接合垫2540a与接合垫2540b通过通孔2200电连接到彼此。
在一些实施例中,在接合垫2540b上形成有多个导电端子4000。如图13中所示,举例来说,导电端子4000实体连接到接合垫2540b。换句话说,导电端子4000通过接合垫2540b电连接到电路元件2000。通过接合垫2540b,导电端子4000中的一些导电端子4000电连接到接合垫2540a中的一些接合垫2540a。在一些实施例中,导电端子4000是例如芯片连接件或BGA球。
继续图13,在一些实施例中,提供图11中所绘示的半导体封装SP1并将半导体封装SP1接合到电路元件2000,且将电路元件2000接合到衬底5000以形成具有堆叠结构的半导体封装SP3。前面已在图1到图11中阐述了半导体封装SP1的细节,且前面已在图12中阐述了衬底5000的细节,且因此在本文中不再重复。在一些实施例中,通过连接导电端子1600与电路元件2000的接合垫2540a而使半导体封装SP1实体连接到电路元件2000,且通过连接导电端子4000与衬底5000的接触垫5100而使电路元件2000实体连接到衬底5000。换句话说,半导体封装SP1通过导电端子1600及接合垫2540a电连接到电路元件2000,电路元件2000通过导电端子4000及接触垫5100电连接到衬底5000,使得半导体封装SP1通过导电端子1600、接合垫2540a、导电端子4000及接触垫5100电连接到衬底5000。在此种实施例中,导电端子1600是例如微凸块而导电端子4000是芯片连接件,且导电端子6000是焊料球或BGA球。在某些实施例中,图13中所绘示的半导体封装SP3可通过衬底上晶片上芯片(chip on wafer onsubstrate,CoWoS)封装工艺形成。半导体封装SP3可被称为CoWoS封装。
在一些实施例中,在电路元件2000上可选地形成底部填充胶3000。如图13中所示,举例来说,底部填充胶3000至少填充半导体封装SP1与电路元件2000之间的间隙且包绕导电端子1600的侧壁。在一些替代实施例中,半导体封装SP1的侧壁可进一步被底部填充胶3000覆盖,本公开并不仅限于此。举例来说,底部填充胶3000可为任何可接受的材料,例如聚合物、环氧树脂、模制底部填充胶等。在一个实施例中,底部填充胶3000可通过底部填充胶分配或任何其他合适的方法形成。由于底部填充胶3000,半导体封装SP1与电路元件2000之间的接合强度得到增强,从而改善封装结构PS3的可靠性。
参照图14,在一些实施例中,图11中所绘示的半导体封装SP1从载体1120及剥离层1140剥离,且多个导电端子7000设置在重布线电路结构1200上且电连接到重布线电路结构1200,以形成半导体封装SP1’,其中半导体封装SP1’接合到封装8000,以形成半导体封装SP4。半导体封装SP1’的细节与图1到图11中阐述的半导体封装SP1的细节相似或实质上相同,且因此在本文中不再重复。在一些实施例中,通过剥离步骤暴露出的重布线电路结构1200被图案化以暴露出金属化层1240的一些部分。在一些实施例中,聚合物介电层1220的最底层被图案化以形成分别暴露出金属化层1240的底表面的一些部分的多个开口(未标记),且导电端子7000通过形成在聚合物介电层1220的最底层中的开口连接到重布线电路结构1200。图案化步骤可例如包括激光钻孔工艺;然而,本公开并不仅限于此。开口的数目并不仅限于此,且可基于需求来指定及选择。
在一些实施例中,封装8000包括衬底8100、多个半导体管芯8200a及8200b、多个接合线(bonding wire)8300a及8300b、多个导电垫8400、多个导电垫8500、绝缘包封体8600及多个接合焊料球(未示出)。如图14中所示,举例来说,提供其上设置有连接膜DA1的半导体管芯8200a及其上设置有连接膜DA2的半导体管芯8200b,且将半导体管芯8200a及半导体管芯8200b设置在衬底8100上。在一些实施例中,连接膜DA1位于半导体管芯8200a与衬底8100之间,且连接膜DA2位于半导体管芯8200a与半导体管芯8200b之间。在一些实施例中,由于分别设置在半导体管芯8200a与衬底8100之间以及半导体管芯8200a与半导体管芯8200b之间的连接膜DA1及DA2,半导体管芯8200a、8200b被稳定地粘合到衬底8100。在一些实施例中,连接膜DA1、DA2可为例如管芯贴合膜、由粘合剂或环氧树脂制成的层、或类似物等。
举例来说,半导体管芯8200a及半导体管芯8200b安装在衬底8100的一个表面(例如,表面S6)上。在一些实施例中,半导体管芯8200a及半导体管芯8200b可为逻辑芯片(例如,CPU、GPU、NPU、DPU、TPU、SoC、AP、微控制器等)、存储器芯片(例如,DRAM芯片、SRAM芯片等)、电源管理芯片(例如,PMIC芯片)、RF芯片、BB芯片、传感器芯片、MEMS芯片、信号处理芯片(例如,DSP芯片)、前端芯片(例如,AFE芯片)、应用专用管芯(例如,ASIC)、FPGA;其组合;或类似芯片。举例来说,如图14中所示,半导体管芯8200a及半导体管芯8200b是DRAM芯片。在一个实施例中,半导体管芯8200a与半导体管芯8200b可为相同的。然而,本公开并不仅限于此;在替代实施例中,半导体管芯8200a与半导体管芯8200b可彼此不同。
在一些实施例中,接合线8300a及接合线8300b分别用于提供半导体管芯8200a、8200b与位于衬底8100的表面S6上的导电垫8400(例如接合垫)中的一些导电垫8400之间的电连接。由于接合线8300a及接合线8300b,半导体管芯8200a及半导体管芯8200b电连接到衬底8100。
在一些实施例中,绝缘包封体8600形成在衬底8100的表面S6上以包封半导体管芯8200a、8200b、接合线8300a、8300b及导电垫8400,从而保护这些组件。在一些实施例中,绝缘包封体8600的材料与绝缘包封体1400相同,且因此在本文中不再重复。在一个实施例中,绝缘包封体8600的材料与绝缘包封体1400不同,本公开并不仅限于此。
在一些实施例中,嵌入衬底8100中的多个内连件(interconnect)(未示出)或多个绝缘体穿孔(through insulator via)(未示出)可用于提供导电垫8400与位于衬底8100的另一表面(例如,与表面S6相对的表面S7)上的导电垫8500(例如接合垫)之间的电连接。在某些实施例中,除了导电垫8400中的一些导电垫8400及接合线8300a、8300b之外,导电垫8500中的一些导电垫8500通过这些绝缘体穿孔或内连件(未示出)电连接到半导体管芯8200a及半导体管芯8200b。
在一些实施例中,通过连接形成在封装8000的导电垫8500上的接合焊料球(未示出)与半导体封装SP1’的导电端子7000而使封装8000的导电垫8500电连接到导电柱1300。在接合之后,接合焊料球及导电端子7000在下文中可被统称为接头(joints)7000。也就是说,半导体封装SP1’与封装8000通过夹置在半导体封装SP1’与封装8000之间的接头7000电连接及实体连接,其中半导体管芯8200a、8200b电连接到半导体封装SP1’。换句话说,半导体管芯8200a、8200b与半导体封装SP1’的半导体管芯200A电连通。
另外,如图14中所示,举例来说,底部填充胶9000填充封装8000与半导体封装SP1’之间以及接头7000之间的间隙且进一步包封接头7000。在一个实施例中,底部填充胶9000可通过底部填充胶分配或任何其他合适的方法形成。在一些实施例中,如前面所阐述,底部填充胶9000的材料可与平坦化的绝缘包封体1400的材料、绝缘包封体8600的材料和/或底部填充胶3000的材料相同或不同,本公开并不仅限于此。由于底部填充胶9000,半导体封装SP4的接合强度得到增强。半导体封装SP4可被称为叠层封装(Package-on-Package,PoP)结构的InFO封装。作为另外一种选择,半导体封装SP4可通过导电端子1600进一步接合到电路衬底(例如,衬底5000)上,以形成具有PoP InFO封装的倒装芯片封装。
然而,本公开并不仅限于此。拾取装置100A还可在半导体封装SP2、SP3及SP4的制造工艺期间用于将半导体封装SP1和/或管芯转移到电路衬底、中介层、附加封装、芯片/管芯或其他电子器件上,以形成堆叠封装结构。
图22是示出根据本公开一些替代实施例的制造半导体器件期间的拾取装置的示意性剖视图。与前面阐述的元件相似或实质上相同的元件将使用相同的参考编号,且相同元件的某些细节或说明(例如,材料、形成工艺、定位配置等)在本文中将不再重复。在一些实施例中,图22所示拾取装置100B相似于图1到图6所示拾取装置100A;不同之处在于拾取装置100B包括第一框架元件110、第二框架元件120、光发射元件130、顶出器元件140及收集器元件160。换句话说,在拾取装置100B中,收集器元件150被收集器元件160替代。
在一些实施例中,收集器元件160包括具有凹槽160a的本体162、嵌入本体162中的沟道164以及连接到沟道164的真空元件(未示出),如图22中所示。在一些实施例中,真空元件被配置成向沟道164提供真空力(例如,产生负压)以用于拾取半导体管芯200A。沟道164可被称为真空路径或真空沟道。举例来说,沟道164在本体162的表面S2处具有开口孔(未标记,具有宽度W2),其中表面S2面对半导体管芯200且是凹槽160a的底表面。如图22中所示,形成在本体162中的凹槽160a可具有面对半导体管芯200的开口孔,且所述开口孔与表面S2相对。在一些实施例中,凹槽160a的尺寸W3大于半导体管芯200A的尺寸(例如,第一侧向尺寸L1及第二侧向尺寸L2),使得凹槽160a能够容纳半导体管芯200A的一部分。换句话说,半导体管芯200A可在不接触本体162的情况下局部地插入到凹槽160a中。在一些实施例中,凹槽160a的尺寸W3大于沟道164的宽度W2及沟道154的宽度W1。
举例来说,在拾取工艺期间(例如,在图28中的方法1000的步骤S1040中),收集器元件160以第一配置(与半导体管芯200A相应)进行定位且沿着堆叠方向Z向下移动,直到半导体管芯200A的至少一部分在不接触本体162的情况下位于凹槽160a内部。在一些实施例中,通过将半导体管芯200A局部地放置到凹槽160a中,半导体管芯200A具有经受吸力的较大面积,从而确保存在足够的吸力来由收集器元件160稳定地固持半导体管芯200A。半导体管芯200A可在拾取工艺期间不接触本体162的情况下由收集器元件160固持。也就是说,举例来说,当半导体管芯200A由收集器元件160固持时,半导体管芯200A与收集器元件160之间不存在直接接触。收集器元件160可被称为非接触模式收集器。由于收集器元件160,可避免由收集器元件160的表面S2上的残余物(例如,不期望的颗粒)对半导体管芯200(例如,半导体管芯200A)(例如,前侧表面FS)造成的损坏。
在一些实施例中,通过使用光学显微镜(例如,检测半导体管芯200A上的对准标记的光反射强度)确定半导体管芯200A的位置而使收集器元件160精确地移动到正好上覆在半导体管芯200A上的位置(例如,相对于半导体管芯200A的第一配置)。换句话说,拾取装置100A可包括集成在收集器元件160中的一个或多于一个光学显微镜或者靠近收集器元件160安装到移动机构上的一个或多于一个光学显微镜。对准标记可包括形成在半导体管芯200A上或半导体管芯200A中的一个或多个金属图案。对准标记可包括形成在半导体管芯200A上或半导体管芯200A中的一个或多个金属图案。在一些实施例中,拾取装置100A还包括移动机构,其中收集器元件160连接到移动机构(未示出)以控制收集器元件160的移动。举例来说,移动机构被配置成使收集器元件160沿着堆叠方向Z垂直移动和/或沿着方向X和/或方向Y水平移动。移动构件可包括机械臂。
在一些实施例中,本体162的材料包括金属材料,例如金属或金属合金。本体162的材料可与本体152的材料相同。本公开并不仅限于此;作为另外一种选择,本体162的材料可与本体152的材料不同。前面已在图1中阐述了第一框架元件110、第二框架元件120、光发射元件130、顶出器元件及半导体管芯200/200A的细节,且因此为简洁起见在本文中不再重复。由于拾取装置100B的光发射元件130及顶出器元件140,第二部分320与设置在第二部分320上的半导体管芯200(例如,半导体管芯200A)之间的脱离强度大大降低,从而便于从第二部分320对半导体管芯200(例如,半导体管芯200A)的拾取并抑制对半导体管芯200(例如,半导体管芯200A)的损坏(例如裂纹)。
图23到图26是示出根据本公开一些实施例的在制造半导体器件期间使用拾取装置的方法的示意性剖视图。图27是示出根据本公开一些替代实施例的制造半导体器件期间的拾取装置的示意性剖视图。与前面阐述的元件相似或实质上相同的元件将使用相同的参考编号,且相同元件的某些细节或说明(例如,材料、形成工艺、定位配置等)在本文中将不再重复。在一些实施例中,图23到图26所示拾取装置100C与图1到图6所示拾取装置100A相似;不同之处在于拾取装置100C包括第一框架元件110、第二框架元件120、光发射元件130及收集器元件150。换句话说,在拾取装置100C中省略顶出器元件140。前面已在图1中结合图16到图21阐述了第一框架元件110、第二框架元件120、光发射元件130及收集器元件150的细节,且因此为简洁起见在本文中不再重复。
参照图23,根据图28中的方法1000的步骤S1010,将粘合到粘合膜300的半导体管芯200放置到拾取装置100C上。粘合膜300可通过装载元件400固定到拾取装置100C上,其中装载元件400可设置在第一框架元件110中或第一框架元件110上。前面已在图1中阐述了半导体管芯200/200A、粘合膜300及装载元件400的细节,且因此为简洁起见在本文中不再重复。
参照图24,在一些实施例中,根据图28中的方法1000的步骤S1020,将紫外光L辐射到粘合膜300上。在一些实施例中,粘合膜300被紫外光L局部辐射以形成粘合膜300’,其中粘合膜300的未暴露于紫外光L的一部分形成粘合膜300’的第一部分310,且粘合膜300的暴露于紫外光L的一部分形成粘合膜300’的第二部分320。如图24中所示,举例来说,粘合膜300’是连续膜,且粘合膜300’的第一部分310与第二部分320连接到(例如,实体接触)彼此。由于第二部分320被固化,第二部分320失去其粘合性质,因此第二部分320与设置在第二部分320上的半导体管芯200(例如,半导体管芯200A)的粘着充分降低,而第一部分310与设置在第一部分310上的半导体管芯200(例如,半导体管芯200A)的粘着维持不变(由于第一部分310未暴露于紫外光L)。在某些实施例中,在辐射工艺期间,光发射元件130、半导体管芯200A及收集器元件150以第一配置进行定位。也就是说,举例来说,光发射元件130的中心、半导体管芯200A的中心及收集器元件150的中心沿着堆叠方向Z实质上彼此对准。如图24中所示,光发射元件130、半导体管芯200A及收集器元件150可沿着堆叠方向Z彼此交叠。前面已在图2中结合图15阐述了辐射工艺及粘合膜300’的细节,且因此为简洁起见在本文中不再重复。
参照图25及图26,在一些实施例中,根据图28中的方法1000的步骤S1040,通过收集器元件150从粘合膜300’移除(例如,拾取)半导体管芯200A。举例来说,收集器元件150沿着堆叠方向Z向下移动,直到收集器元件150的表面S1与半导体管芯200A的前侧表面FS(实体)接触,且收集器元件150通过沟道154对半导体管芯200A施加真空力,使得半导体管芯200A通过吸力被收集器元件150固持,如图25中所示。当半导体管芯200A由收集器元件150固持时,半导体管芯200A与收集器元件150之间存在直接接触。收集器元件150可被称为接触模式收集器。此后,收集器元件150沿着堆叠方向Z向上移动,从而使半导体管芯200A的后侧表面BS从粘合膜300’的第二部分320的顶表面300t完全脱离,如图26中所示。由于光发射元件130,第二部分320与设置在第二部分320上的半导体管芯200(例如,半导体管芯200A)之间的脱离强度大大降低,且用于固持半导体管芯200(例如,半导体管芯200A)的收集器元件150的表面S1与半导体管芯200(例如,半导体管芯200A)之间的接触力可降低,从而可抑制和/或避免由收集器元件150的表面S1上的残余物(例如,不期望的颗粒)对半导体管芯200(例如,半导体管芯200A)(例如,前侧表面FS)造成的损坏。另外,由于拾取装置100C的光发射元件130,第二部分320与设置在第二部分320上的半导体管芯200(例如,半导体管芯200A)之间的脱离强度大大降低,从而便于从第二部分320对半导体管芯200(例如,半导体管芯200A)的拾取并抑制对半导体管芯200(例如,半导体管芯200A)的损坏(例如裂纹)。
相似地,拾取装置100C的收集器元件150可由收集器元件160代替,参见图27中所示的拾取装置100D。前面已在图22中阐述了收集器元件160的细节,且因此为简洁起见在本文中不再重复。由于收集器元件160,可避免由收集器元件160的表面S2上的残余物(例如,不期望的颗粒)对半导体管芯200(例如,半导体管芯200A)(例如,前侧表面FS)造成的损坏。由于拾取装置100D的光发射元件130,第二部分320与设置在第二部分320上的半导体管芯200(例如,半导体管芯200A)之间的脱离强度大大降低,从而便于从第二部分320对半导体管芯200(例如,半导体管芯200A)的拾取并抑制对半导体管芯200(例如,半导体管芯200A)的损坏(例如裂纹)。
根据一些实施例,一种用于将粘合在粘合膜上的半导体管芯从所述粘合膜分离的拾取装置包括:框架,被配置成固持粘合有所述半导体管芯的所述粘合膜;紫外光发射元件,设置在所述框架内部,所述粘合膜设置在所述半导体管芯与所述紫外光发射元件之间;以及收集器元件,设置在所述框架之上。
根据一些实施例,在所述的拾取装置中,所述紫外光发射元件包括紫外光发射二极管灯,所述紫外光发射二极管灯以约100J/cm2到约1000J/cm2的强度发射波长为约10nm到约400nm的紫外光。根据一些实施例,在所述的拾取装置中,所述紫外光发射元件与所述粘合膜间隔开约1mm到约10mm的距离。根据一些实施例,在所述的拾取装置中,所述收集器元件包括非接触模式收集器,所述非接触模式收集器包括本体、沟道及真空元件,所述本体具有面对所述半导体管芯的侧,所述沟道嵌入所述本体中且在所述侧处具有第一开口,所述真空元件连接到所述沟道,且所述本体包括形成在所述侧处的凹槽。根据一些实施例,在所述的拾取装置中,所述收集器元件包括接触模式收集器,所述接触模式收集器包括本体、沟道及真空元件,所述本体具有面对所述半导体管芯的侧,所述沟道嵌入所述本体中且在所述侧处具有第一开口,所述真空元件连接到所述沟道。根据一些实施例,在所述的拾取装置中,所述框架的材料包括金属或金属合金。
根据一些实施例,一种用于将半导体管芯从粘着层剥离的拾取装置包括:第一框架元件,被配置成固持粘合有所述半导体管芯的所述粘着层;第二框架元件,设置在所述第一框架元件内部;光发射元件,设置在所述第二框架元件内部,所述粘着层设置在所述半导体管芯与所述光发射元件之间;顶出器元件,设置在所述第二框架元件内部且靠近所述光发射元件,所述粘着层设置在所述半导体管芯与所述顶出器元件之间;以及收集器元件,设置在所述第一框架元件及所述第二框架元件之上。
根据一些实施例,在所述的拾取装置中,所述第一框架元件的内侧壁远离所述第二框架元件的外侧壁,且所述第一框架元件的材料及所述第二框架元件的材料包括金属或金属合金。根据一些实施例,在所述的拾取装置中,所述光发射元件包括:第一壳体,具有光出射端口;紫外光源,设置在所述第一壳体中且被配置成发射紫外光;以及透镜单元,设置在所述第一壳体中且包括亮度增强膜、光扩散膜或其组合,其中所述透镜单元设置在由所述紫外光源发射的所述紫外光的光路径且位于所述紫外光源与所述第一壳体的所述光出射端口之间。根据一些实施例,在所述的拾取装置中,所述顶出器元件包括:第二壳体,具有面对所述粘着层的第一表面,所述第一表面中形成有多个开口;多个销,设置在所述第二壳体内部且各自包括通过所述多个开口中的相应一者从所述第一表面突出的一部分;以及电动机,连接到所述多个销且被配置成提升或降低所述多个销。根据一些实施例,在所述的拾取装置中,所述多个销排列成对准方式的矩阵、交错方式的矩阵或具有同心方式的预定图案。根据一些实施例,在所述的拾取装置中,所述收集器元件包括非接触模式收集器。根据一些实施例,在所述的拾取装置中,所述收集器元件包括接触模式收集器。
根据一些实施例,一种用于将粘合在粘合膜上的半导体管芯从所述粘合膜分离的方法包括:提供拾取装置,所述拾取装置包括框架、设置在所述框架内部的紫外光发射元件以及设置在所述框架之上的收集器元件;通过所述框架将粘合在所述粘合膜上的所述半导体管芯放置到所述拾取装置上;经由所述紫外光发射元件将紫外光辐射到位于所述半导体管芯之下的所述粘合膜的一部分上;以及经由所述收集器元件从所述粘合膜的所述一部分移除所述半导体管芯。
根据一些实施例,在所述的方法中,在将所述紫外光辐射到所述粘合膜的所述一部分上之前,所述方法还包括将所述紫外光发射元件的中心与所述半导体管芯的中心沿着所述紫外光发射元件与所述半导体管芯的堆叠方向对准。根据一些实施例,在所述的方法中,将所述紫外光辐射到所述粘合膜的所述一部分上包括由紫外光发射二极管以约100J/cm2到约1000J/cm2的强度辐射波长为约10nm到约400nm的紫外光。根据一些实施例,在所述的方法中,所述拾取装置还包括顶出器元件,其中在从所述粘合膜的所述一部分移除所述半导体管芯之前且在将所述紫外光辐射到所述粘合膜的所述一部分上之后,所述方法还包括:将所述顶出器元件的中心与所述半导体管芯的中心沿着所述顶出器元件与所述半导体管芯的堆叠方向对准;以及由所述顶出器元件向上提升所述半导体管芯。根据一些实施例,在所述的方法中,所述顶出器元件包括多个提升销,其中由所述顶出器元件向上提升所述半导体管芯包括使所述多个提升销向上移动以向上推动所述半导体管芯。根据一些实施例,在所述的方法中,通过所述收集器元件从所述粘合膜移除所述半导体管芯包括通过所述收集器元件经由非接触模式从所述粘合膜的所述一部分移除所述半导体管芯。根据一些实施例,在所述的方法中,通过所述收集器元件从所述粘合膜移除所述半导体管芯包括通过所述收集器元件经由接触模式从所述粘合膜的所述一部分移除所述半导体管芯。
以上概述了若干实施例的特征,以使所属领域中的技术人员可更好地理解本公开的各个方面。所属领域中的技术人员应理解,他们可容易地使用本公开作为设计或修改其他工艺及结构的基础来施行与本文中所介绍的实施例相同的目的和/或实现与本文中所介绍的实施例相同的优点。所属领域中的技术人员还应认识到,这些等效构造并不背离本公开的精神及范围,而且他们可在不背离本公开的精神及范围的条件下对其作出各种改变、替代及变更。

Claims (10)

1.一种用于将粘合在粘合膜上的半导体管芯从所述粘合膜分离的方法,包括:
提供拾取装置,所述拾取装置包括框架、设置在所述框架内部的紫外光发射元件以及设置在所述框架之上的收集器元件;
通过所述框架将粘合在所述粘合膜上的所述半导体管芯放置到所述拾取装置上;
经由所述紫外光发射元件将紫外光辐射到位于所述半导体管芯之下的所述粘合膜的一部分上;以及
经由所述收集器元件从所述粘合膜的所述一部分移除所述半导体管芯。
2.根据权利要求1所述的方法,其中在将所述紫外光辐射到所述粘合膜的所述一部分上之前,所述方法还包括将所述紫外光发射元件的中心与所述半导体管芯的中心沿着所述紫外光发射元件与所述半导体管芯的堆叠方向对准。
3.根据权利要求1所述的方法,其中所述拾取装置还包括顶出器元件,其中在从所述粘合膜的所述一部分移除所述半导体管芯之前且在将所述紫外光辐射到所述粘合膜的所述一部分上之后,所述方法还包括:
将所述顶出器元件的中心与所述半导体管芯的中心沿着所述顶出器元件与所述半导体管芯的堆叠方向对准;以及
由所述顶出器元件向上提升所述半导体管芯。
4.根据权利要求1所述的方法,其中通过所述收集器元件从所述粘合膜移除所述半导体管芯包括通过所述收集器元件经由非接触模式从所述粘合膜的所述一部分移除所述半导体管芯。
5.根据权利要求1所述的方法,其中通过所述收集器元件从所述粘合膜移除所述半导体管芯包括通过所述收集器元件经由接触模式从所述粘合膜的所述一部分移除所述半导体管芯。
6.一种用于将粘合在粘合膜上的半导体管芯从所述粘合膜分离的拾取装置,包括:
框架,被配置成固持粘合有所述半导体管芯的所述粘合膜;
紫外光发射元件,设置在所述框架内部,所述粘合膜设置在所述半导体管芯与所述紫外光发射元件之间;以及
收集器元件,设置在所述框架之上以拾取所述半导体管芯。
7.根据权利要求6所述的拾取装置,其中所述紫外光发射元件包括紫外光发射二极管灯,所述紫外光发射二极管灯以约100J/cm2到约1000J/cm2的强度发射波长为约10nm到约400nm的紫外光。
8.根据权利要求6所述的拾取装置,其中所述紫外光发射元件与所述粘合膜间隔开约1mm到约10mm的距离。
9.一种用于将半导体管芯从粘着层剥离的拾取装置,包括:
第一框架元件,被配置成固持粘合有所述半导体管芯的所述粘着层;
第二框架元件,设置在所述第一框架元件内部;
光发射元件,设置在所述第二框架元件内部,所述粘着层设置在所述半导体管芯与所述光发射元件之间;
顶出器元件,设置在所述第二框架元件内部以及所述光发射元件旁边,所述粘着层设置在所述半导体管芯与所述顶出器元件之间;以及
收集器元件,设置在所述第一框架元件及所述第二框架元件之上以拾取所述半导体管芯。
10.根据权利要求9所述的拾取装置,其中所述光发射元件包括:
第一壳体,具有光出射端口;
紫外光源,设置在所述第一壳体中且被配置成发射紫外光;以及
透镜单元,设置在所述第一壳体中且包括亮度增强膜、光扩散膜或其组合,其中所述透镜单元设置在由所述紫外光源发射的所述紫外光的光路径且位于所述紫外光源与所述第一壳体的所述光出射端口之间。
CN202210389551.6A 2021-06-18 2022-04-14 拾取装置及其使用方法 Pending CN115172233A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163212118P 2021-06-18 2021-06-18
US63/212,118 2021-06-18
US17/577,337 US20220406627A1 (en) 2021-06-18 2022-01-17 Pickup apparatus and method of using the same
US17/577,337 2022-01-17

Publications (1)

Publication Number Publication Date
CN115172233A true CN115172233A (zh) 2022-10-11

Family

ID=83483663

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210389551.6A Pending CN115172233A (zh) 2021-06-18 2022-04-14 拾取装置及其使用方法

Country Status (3)

Country Link
US (1) US20220406627A1 (zh)
CN (1) CN115172233A (zh)
TW (1) TW202301516A (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3504543B2 (ja) * 1999-03-03 2004-03-08 株式会社日立製作所 半導体素子の分離方法およびその装置並びに半導体素子の搭載方法
JP2003007652A (ja) * 2001-06-26 2003-01-10 Mitsubishi Electric Corp 半導体チップの製造方法
KR100480628B1 (ko) * 2002-11-11 2005-03-31 삼성전자주식회사 에어 블로잉을 이용한 칩 픽업 방법 및 장치
JP2010129700A (ja) * 2008-11-26 2010-06-10 Nitto Denko Corp ダイシング・ダイボンドフィルム及び半導体装置の製造方法

Also Published As

Publication number Publication date
US20220406627A1 (en) 2022-12-22
TW202301516A (zh) 2023-01-01

Similar Documents

Publication Publication Date Title
US11443995B2 (en) Integrated circuit package and method
US11309223B2 (en) Method of forming semiconductor device package having dummy devices on a first die
KR102397032B1 (ko) 반도체 디바이스 및 제조 방법
US20210327807A1 (en) Manufacturing method of semiconductor structure
CN112447646B (zh) 半导体器件、封装件及其形成方法
TW202013667A (zh) 半導體結構、封裝結構及其製造方法
US11854921B2 (en) Integrated circuit package and method
US20230245976A1 (en) Semiconductor structure and method manufacturing the same
CN110838473B (zh) 半导体封装及其制造方法
US20200402942A1 (en) Semiconductor structure and method manufacturing the same
TWI790503B (zh) 積體電路封裝及其形成方法
US20230378012A1 (en) Integrated Circuit Packages and Methods of Forming the Same
US20230369274A1 (en) Integrated circuit package and method of forming same
KR102557597B1 (ko) 반도체 패키징 및 그 형성 방법
KR20230124459A (ko) 집적 회로 패키지 및 방법
CN115497913A (zh) 半导体封装和其制造方法
US20220406627A1 (en) Pickup apparatus and method of using the same
US20230065941A1 (en) Semiconductor package and method of manufacturing the same
US20230369370A1 (en) Package structure and manufacturing method thereof
TWI838073B (zh) 積體電路封裝及其形成方法
US11830859B2 (en) Package structures and method for forming the same
US20230266528A1 (en) Package and method of forming same
US20220359449A1 (en) Semiconductor structure and method manufacturing the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication