TW202301516A - 拾取裝置及其使用方法 - Google Patents

拾取裝置及其使用方法 Download PDF

Info

Publication number
TW202301516A
TW202301516A TW111113662A TW111113662A TW202301516A TW 202301516 A TW202301516 A TW 202301516A TW 111113662 A TW111113662 A TW 111113662A TW 111113662 A TW111113662 A TW 111113662A TW 202301516 A TW202301516 A TW 202301516A
Authority
TW
Taiwan
Prior art keywords
semiconductor die
adhesive film
semiconductor
ultraviolet light
frame
Prior art date
Application number
TW111113662A
Other languages
English (en)
Inventor
陳奕融
蔡宗甫
盧思維
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202301516A publication Critical patent/TW202301516A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67132Apparatus for placing on an insulating substrate, e.g. tape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Die Bonding (AREA)

Abstract

一種用於將粘合在粘合膜上的半導體晶粒從所述粘合膜分離的拾取裝置包括框架、紫外光發射元件及收集器元件。所述框架被配置成固持其上方粘合有半導體晶粒的粘合膜。所述紫外光發射元件設置在框架內部,其中所述粘合膜設置在半導體晶粒與紫外光發射元件之間。所述收集器元件設置在框架之上。

Description

拾取裝置及其使用方法
縮減半導體元件及電子元件的尺寸的發展使得在給定體積中整合具有更小及更薄尺寸的更多元件及元件成為可能,且實現各種半導體元件和/或電子元件的高積體密度。在整合期間,元件及元件的運輸已得到發展。
以下揭露提供用於實施所提供主題的不同特徵的許多不同實施例或實例。下文描述組件及配置的具體實例用以簡化本揭露。當然,此等組件及配置僅為實例且不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或第二特徵上的形成可包含第一特徵以及第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可在第一特徵與第二特徵之間形成使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可在各種實例中重複附圖標號及/或字母。此重複出於簡單及明晰的目的,且其本身並不指示所論述的各種實施例及/或組態之間的關係。
另外,為了便於描述,可在本文中使用諸如「在……之下」、「在……下方」、「下部」、「在……上方」、「上部」以及類似者的空間相對術語,以描述如圖中所示出的一個元件或特徵與另一(些)元件或特徵的關係。除圖中所描繪的定向外,空間相對術語亦意欲涵蓋元件在使用或操作中的不同定向。裝置可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞同樣可相應地進行解譯。
另外,為了易於說明,本文中可使用例如“第一”、“第二”等用語來闡述圖中所示的相似或不同的元件或特徵,並且可根據存在的次序或說明的上下文而互換使用所述用語。
應理解,本公開的以下實施例提供可應用的概念,所述概念可體現在各種各樣的具體上下文中。本文中論述的具體實施例僅是例示性的且涉及製造半導體元件(例如半導體封裝或半導體晶粒(例如,系統積體電路(system-on-integrated circuit,SoIC)等))期間的拾取裝置及其使用方法。根據一些實施例,拾取裝置包括安裝在拾取裝置中的紫外(ultraviolet,UV)光發射器,其中在將紫外光輻射到粘合膜之後,透過收集器元件使半導體晶片(或晶粒)從粘合膜(或層)有效地脫離。舉例來說,在使用紫外光發射器的情況下,粘合膜會因經歷紫外光暴露而失去其接觸黏性(tack)。因此,對於較大的晶片(例如具有約40 mm2或大於40 mm2的面積)、較薄的晶片(例如具有約100 μm或小於100 μm的厚度)或較大且較薄的晶片,晶片破裂故障的風險降低。另外,拾取裝置還可包括包含多個銷的頂出器元件,其中所述多個銷將半導體晶片及位於半導體晶片之下的粘合膜向上提升,以使半導體晶片局部地從粘合膜脫離,從而便於從粘合膜拾取半導體晶片。
圖1到圖11是示出根據本公開一些實施例的在製造半導體元件期間使用拾取裝置的方法的示意性剖視圖。圖15是示出圖2中的半導體晶粒及粘合層的定位配置的示意性俯視圖,其中圖1到圖11是沿著圖15中所繪示的線AA截取的剖視圖。圖16及圖17是示出圖1中所繪示的拾取裝置的光發射器的示意性剖視圖及俯視圖,其中圖16是沿著圖17中所繪示的線BB截取的剖視圖。圖18及圖19是示出圖1中所繪示的拾取裝置的頂出器總成的示意性剖視圖及俯視圖,其中圖18是沿著圖19中所繪示的線CC截取的剖視圖。圖28示出根據本公開一些實施例的在製造半導體元件期間使用拾取裝置的方法的流程圖。
在一些實施例中,一個(半導體)晶片或晶粒被示出為代表晶圓的多個(半導體)晶片或晶粒,且一個半導體封裝被示出為代表按照(半導體)製造方法獲得的多個半導體封裝,但本公開並不僅限於此。在其他實施例中,一個或多個(半導體)晶片或晶粒被示出為代表晶圓的多個(半導體)晶片或晶粒,且一個或多個半導體封裝被示出為代表按照(半導體)製造方法獲得的多個半導體封裝。在實施例中,所述製造方法是晶圓級封裝製程的一部分。應注意,本文中闡述的製程步驟涵蓋用於製作半導體封裝的製造製程的一部分。所述實施例旨在提供進一步的闡釋,但不用於限制本公開的範圍。
參照圖1,在一些實施例中,在半導體封裝SP1(如圖11中所示)的製造製程期間使用拾取裝置100A的方法包括以下步驟。首先,在膠帶框架(tape frame)(未示出)之上提供包括多個半導體晶粒200的第一晶圓(未示出),且執行切割製程以沿著切分線將第一晶圓切分成各別且分離的多個半導體晶粒200。在一個實施例中,切割製程是包括機械刀片鋸切(mechanical blade sawing)或雷射切分的晶圓切割製程。在一些實施例中,在切割/單體化之前,透過探測(probing)來測試第一晶圓中所包括的半導體晶粒200的功能及性能,且僅從經測試的半導體晶粒200中選擇已知良好晶粒(known good die,KGD)用於隨後的處理。在一些實施例中,將來自經測試的半導體晶粒200的已知良好晶粒(KGD)放置到且粘合到粘合膜300上,以用於隨後的處理。出於例示目的且為簡明起見,圖1中僅示出三個半導體晶粒200,所述三個半導體晶粒200被標識為設置在粘合膜(adhesive film)300中的KGD;本公開並不僅限於此。在一些實施例中,粘合膜300是連續膜(continuous film)。粘合膜300可包括UV膠帶(UV tape)、UV膜或UV粘合膜。粘合膜300可被稱為粘著膜(adhesion film)、粘著層(adhesion layer)或粘合層(adhesive layer)。
另外,在切割/單體化之前,半導體晶粒200可在第一晶圓中排列成陣列。在一些實施例中,半導體晶粒200以矩陣的形式排列,例如沿著方向X及方向Y的N×N陣列或N×M陣列(N、M >0,N可等於或可不等於M)。舉例來說,方向X與方向Y彼此不相同且彼此垂直。也就是說,在一些實施例中,在切割/單體化之前,第一晶圓的多個半導體晶粒200連接至彼此。
如圖1中所示,半導體晶粒200可獨立地被稱為包括數位晶片、類比晶片或混合訊號晶片的半導體晶粒或晶片。在一些實施例中,半導體晶粒200獨立地是:邏輯晶粒(例如,中央處理器(central processing unit,CPU)、圖形處理單元(graphics processing unit,GPU)、神經網路處理單元(neural network processing unit,NPU)、深度學習處理單元(deep learning processing unit,DPU)、張量處理單元(tensor processing unit,TPU)、系統晶片(system-on-a-chip,SoC)、應用處理器(application processor,AP)及微控制器(microcontroller));電源管理晶粒(例如,電源管理積體電路(power management integrated circuit,PMIC)晶粒);無線及射頻(radio frequency,RF)晶粒;基帶(baseband,BB)晶粒;感測器晶粒(例如,光/圖像感測器晶片);微機電系統(micro-electro-mechanical-system,MEMS)晶粒;訊號處理晶粒(例如,數位訊號處理(digital signal processing,DSP)晶粒);前端晶粒(例如,類比前端(analog front-end,AFE)晶粒);應用專用晶粒(例如,應用專用積體電路(application-specific integrated circuit,ASIC));現場可程式化閘陣列(field-programmable gate array,FPGA);其組合;或類似晶粒。在替代實施例中,半導體晶粒200獨立地是具有控制器或不具有控制器的記憶體晶粒,其中記憶體晶粒包括單一形式的晶粒,例如動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體(static random access memory,SRAM)晶粒、電阻式隨機存取記憶體(resistive random-access memory,RRAM)、磁阻式隨機存取記憶體(magnetoresistive random-access memory,MRAM)、反及(NAND)閃速記憶體(flash memory)、寬輸入/輸出(input/output,I/O)記憶體(wide I/O memory,WIO)、例如混合記憶體立方體(hybrid memory cube,HMC)模組等預堆疊記憶體立方體、高頻寬記憶體(high bandwidth memory,HBM)模組;其組合;或類似晶粒。在進一步的替代實施例中,半導體晶粒200獨立地是:人工智慧(artificial intelligence,AI)引擎,例如AI加速器;計算系統,例如AI伺服器、高性能計算(high-performance computing,HPC)系統、高功率計算元件(high power computing device)、雲端計算系統(cloud computing system)、聯網系統(network computing system)、邊緣計算系統(edge computing system)、沉浸式記憶體計算系統(immersive memory computing system,ImMC)、SoIC系統等;其組合;或類似元件。在一些其他實施例中,半導體晶粒200獨立地是電和/或光學輸入/輸出(I/O)介面晶粒、積體被動晶粒(integrated passives die,IPD)、電壓調節器晶粒(voltage regulator die,VR)、具有或不具有深溝渠電容器(deep trench capacitor,DTC)特徵的局部矽內連線晶粒(local silicon interconnect die,LSI)、具有多層功能(例如電和/或光學網路電路介面、IPD、VR、DTC等)的局部矽內連線晶粒。半導體晶粒200的類型可基於需求及設計要求來選擇及指定,且因此在本公開中不受具體限制。
在一些實施例中,半導體晶粒200中的每一者包括其中形成有半導體元件(未示出)的半導體基底210、形成在半導體基底210上的內連線結構220、形成在內連線結構220上的多個連接墊230、形成在連接墊230上的多個連接通孔240、覆蓋內連線結構220、連接墊230以及連接通孔240的側壁的保護層250、以及形成在(嵌入)半導體基底210中的多個導電柱260。在一些實施例中,半導體基底210包括可為經摻雜的或未經摻雜的塊狀半導體、絕緣體上半導體(semiconductor-on-insulator,SOI)基底、其他支撐基底(例如,石英、玻璃等)、其組合等。在一些實施例中,半導體基底210包含元素半導體(例如,結晶結構、多晶結構或非晶結構等中的矽或鍺)、化合物半導體(例如,碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦等)、合金半導體(例如,矽-鍺(SiGe)、砷磷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)等)、其組合或其他合適的材料。化合物半導體基底可具有多層結構,或者基底可包括多層化合物半導體結構。合金SiGe可形成在矽基底之上。SiGe基底可進行應變。
在一些實施例中,半導體基底210包括形成在半導體基底210中或半導體基底210上的半導體元件,其中所述半導體元件包括主動元件(例如,電晶體、二極體等)和/或被動元件(例如,電容器、電阻器、電感器等)或其他合適的電氣組件(electrical component(s))。在一些實施例中,半導體元件形成在半導體基底210的靠近內連線結構220的有效表面210a處。在一些實施例中,如圖1中所示,半導體基底210具有有效表面210a及沿著內連線結構220與半導體基底210的堆疊方向Z與有效表面210a相對的底表面210b,且內連線結構220設置在半導體基底210的有效表面210a上且覆蓋半導體基底210的有效表面210a。舉例來說,堆疊方向Z與方向X及方向Y不同且實質上垂直於方向X及方向Y。
半導體基底210可包括形成在前端製程(front-end-of-line,FEOL)中的電路系統(未示出)以及可形成在後端製程(back-end-of-line,BEOL)中的內連線結構220。在一些實施例中,內連線結構220包括形成在半導體基底210之上且覆蓋半導體元件的層間介電(inter-layer dielectric,ILD)層以及形成在ILD層之上的金屬間介電(inter-metallization dielectric,IMD)層。在一些實施例中,ILD層及IMD層由例如以下低介電常數(low-K)介電材料或極低介電常數(extreme low-K,ELK)材料形成:氧化物、二氧化矽、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、氟化矽酸鹽玻璃(fluorinated silicate glass,FSG)、SiOxCy、旋塗玻璃(Spin-On-Glass)、旋塗聚合物、碳化矽材料、其化合物、其複合物、其組合等。ILD層及IMD層可包括不限於此的任何合適數目的介電材料層。
在一些實施例中,內連線結構220以交替方式包括一個或多個介電層222與一個或多個金屬化層224。金屬化層224可嵌入介電層222中。在一些實施例中,內連線結構220將形成在半導體基底210中和/或半導體基底210上的半導體元件彼此電耦合且電耦合到形成在半導體基底210上的外部元件(例如,測試墊、接合導體等)。舉例來說,介電層222中的金屬化層224在半導體基底210的半導體元件之間路由電訊號。半導體元件與金屬化層224內連以執行包括記憶體結構(例如,記憶體胞元)、處理結構(例如,邏輯胞元)、輸入/輸出(I/O)電路系統(例如,I/O胞元)等的一種或多種功能。內連線結構220的最上層可為由例如以下一種或多種合適的介電材料製成的鈍化層:氧化矽、氮化矽、低介電常數介電質、聚醯亞胺(polyimide,PI)、其組合等。在一些實施例中,如圖1中所示,內連線結構220的鈍化層(例如,介電層222的最上層)具有暴露出金屬化層224的最頂層的至少一部分的開口,以用於進一步電連接。
介電層222可為PI、聚苯並惡唑(polybenzoxazole,PBO)、苯並環丁烯(benzocyclobutene,BCB)、例如氮化矽等氮化物、例如氧化矽等氧化物、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、其組合等,可使用微影和/或蝕刻製程將介電層222圖案化。在一些實施例中,介電層222透過例如以下合適的製作技術形成:旋轉塗布、化學氣相沉積(chemical vapor deposition,CVD)(例如,等離子體增強型化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD))等。
金屬化層224可由透過電鍍或沉積形成的導電材料(例如銅、銅合金、鋁、鋁合金或其組合)製成,可使用微影及蝕刻製程將金屬化層224圖案化。在一些實施例中,金屬化層224是圖案化銅層或其他合適的圖案化金屬層。舉例來說,金屬化層224可為金屬線、金屬通孔、金屬墊、金屬跡線等。在說明書通篇中,用語“銅”旨在包括實質上純的元素銅、含有不可避免的雜質的銅以及含有少量元素(例如鉭、銦、錫、鋅、錳、鉻、鈦、鍺、鍶、鉑、鎂、鋁或鋯等)的銅合金。介電層222的數目及金屬化層224的數目在本公開中不受限制,且可基於需求及設計佈局來選擇及指定。
在一些實施例中,如圖1中所示,在被內連線結構220的鈍化層(例如,介電層222的最上層)暴露出的內連線結構220的金屬化層224的最頂層之上設置連接墊230且將連接墊230電耦合到內連線結構220的金屬化層224的最頂層,以用於測試和/或進一步電連接。連接墊230可由鋁、銅或其合金等製成,且可透過電鍍製程形成。本公開並不僅限於此。連接墊230中的一些連接墊230可為測試墊,且連接墊230中的一些連接墊230可為用於進一步電連接的導電墊。在一些實施例中,為實現簡單的結構及成本效益,連接墊230可為可選的。在一些實施例中,連接通孔240可直接連接到最上部的金屬化層224。
在一些實施例中,在連接墊230上分別設置連接通孔240且將連接通孔240電連接到連接墊230,以用於提供到電路系統及半導體元件的外部電連接。在一個實施例中,連接通孔240可由導電材料(例如銅、金、鋁、類似材料、或其組合)形成且可透過電鍍製程等形成。連接通孔240可為接合通孔、接合墊或接合凸塊或者其組合。本公開並不僅限於此。連接通孔240可用作用於進一步電連接的接合導體且可形成在連接墊230(用作用於進一步電連接的導電墊)之上。連接通孔240可透過內連線結構220及連接墊230電耦合到半導體基底210的半導體元件。
在一些實施例中,在內連線結構220上形成保護層250以覆蓋內連線結構220及連接墊230且在側向上覆蓋連接通孔240。也就是說,保護層250防止在第一晶圓的轉移期間在連接墊230及連接通孔240上發生任何可能的損壞。另外,在一些實施例中,保護層250進一步充當鈍化層,以提供更好的平坦化及平整度(evenness)。在一些實施例中,連接通孔240的頂表面240t與保護層250的頂表面250t實質上齊平,以用於進一步電連接,如圖1中所示。在一些實施例中,保護層250的頂表面250t及連接通孔240的頂表面240t可被稱為半導體晶粒200的頂表面200t。舉例來說,半導體晶粒200的頂表面200t是半導體晶粒200的前側表面FS。
保護層250可包括一層或多層介電材料,例如氮化矽、氧化矽、高密度等離子體(high-density plasma,HDP)氧化物、四乙基正矽酸鹽(tetra-ethyl-ortho-silicate,TEOS)、未經摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、氮氧化矽、PBO、PI、碳化矽、碳氧氮化矽、類金剛石碳(diamond like carbon,DLC)、類似材料或其組合。應理解,依據製程要求而定,保護層250可包括插置在介電材料層之間的蝕刻終止材料層(未示出)。舉例來說,蝕刻終止材料層與上覆的或下伏的介電材料層不同。蝕刻終止材料層可由相對於上覆的或下伏的介電材料層具有高蝕刻選擇性的材料形成,以用於使介電材料層的蝕刻終止。
在一些實施例中,導電柱260嵌入半導體基底210中。舉例來說,導電柱260形成在半導體基底210中且沿著堆疊方向Z從有效表面210a朝底表面210b延伸。如圖1中所示,導電柱260的頂表面260t與半導體基底210的有效表面210a實質上共面,以與被內連線結構220的介電層222的最低層暴露出的金屬化層224的最底層接觸。在一些實施例中,導電柱260不會被半導體基底210的底表面210b以可觸及的方式顯露出。舉例來說,半導體晶粒200的底表面210b是半導體晶粒200的後側表面BS。在一些實施例中,導電柱260可從內連線結構220到底表面210b逐漸變細。作為另外一種選擇,導電柱260具有實質上垂直的側壁。
在沿著堆疊方向Z的剖視圖中,導電柱260的形狀可依據設計要求而定且在本公開中不旨在進行限制。舉例來說,在與堆疊方向Z垂直的X-Y平面上的俯視(平面)圖中,導電柱260的形狀是圓形形狀。然而,依據設計要求而定,導電柱260的形狀可為卵形形狀、矩形形狀、多邊形形狀或其組合;本公開並不僅限於此。
在一些實施例中,導電柱260與在有效表面210a處被內連線結構220的介電層222的最低層暴露出的內連線結構220的金屬化層224的最底層實體接觸,如圖1中所示。也就是說,導電柱260透過內連線結構220電連接到半導體基底210中的半導體元件,且透過內連線結構220及連接墊230電連接到連接通孔240。導電柱260可由導電材料(例如銅、鎢、鋁、銀、其組合等)形成。
在一些實施例中,導電柱260中的每一者被襯墊270覆蓋。舉例來說,襯墊270形成在導電柱260與半導體基底210之間。在一些實施例中,導電柱260中的每一者的側壁可被相應的一個襯墊270覆蓋。在替代實施例中,導電柱260中的每一者的底表面及側壁可被相應的一個襯墊270覆蓋。襯墊270可由阻障材料(例如TiN、Ta、TaN、Ti等)形成。在替代實施例中,介電襯墊(未示出)(例如,氮化矽、氧化物、聚合物、其組合等)可進一步可選地形成在襯墊270與半導體基底210之間。在一些實施例中,導電柱260、襯墊270及可選的介電襯墊是透過以下方式形成:在半導體基底210中形成多個凹槽,且在凹槽中分別沉積介電材料、阻障材料及導電材料;移除半導體基底210上的多餘材料。舉例來說,使用介電襯墊對半導體基底210的凹槽進行襯墊,以在側向上將對導電柱260的側壁進行襯墊的襯墊270與半導體基底210隔開。在某些實施例中,導電柱260是透過使用通孔優先方法(via-first approach)形成。在此種實施例中,導電柱260是在形成內連線結構220之前形成。如圖1中所示,在一些實施例中,導電柱260透過至少襯墊270與半導體基底210隔開。作為另外一種選擇,可省略襯墊270。或者作為另外一種選擇,可省略導電柱260及襯墊270二者。
作為另外一種選擇,導電柱260可透過使用通孔最後方法(via-last approach)形成,且可在形成內連線結構220之後形成。本公開並不僅限於此。半導體晶粒200中的每一者內的內連線結構220的介電層222的數目及金屬化層224的數目、連接墊230的數目、連接通孔240的數目以及導電柱260的數目並不僅限於本公開且可基於需求及設計佈局來選擇及指定。
繼續圖1,在一些實施例中,根據圖28中的方法1000的步驟S1010,將粘合到粘合膜300的半導體晶粒200放置到拾取裝置100A上。在一些實施例中,拾取裝置100A包括第一框架元件(first frame element)110、第二框架元件(second frame element)120、光發射元件(light emitting element)130、頂出器元件(ejector element)140及收集器元件(collector element)150。粘合膜300可透過裝載元件(loading element)400固定到拾取裝置100A上,其中裝載元件400可設置在第一框架元件110中或第一框架元件110上。如圖1中所示,舉例來說,透過將裝載元件400至少局部地插入第一框架元件110中而將粘合膜300安裝到拾取裝置100A上,其中粘合膜300的邊緣被裝載元件400夾持。裝載元件400可包括緊固件,例如螺栓、凸緣環等。在一些實施例中,裝載元件400還能夠移動粘合膜300,以將待拾取的半導體晶粒200沿著堆疊方向Z與光發射元件130及收集器元件150對準。在本公開中,待拾取的半導體晶粒200在下文中被表示為半導體晶粒200A。
如圖1中所示,半導體晶粒200設置在(例如,實體接觸)粘合膜300的頂表面300t上,且粘合膜300的底表面300b抵靠(例如,實體接觸)第一框架元件110的頂表面110t。粘合膜300的底表面300b可進一步抵靠(例如,實體接觸)第二框架元件120的頂表面120t。舉例來說,頂表面300t沿著堆疊方向Z與底表面300b相對。
在一些實施例中,第二框架元件120被第一框架元件110環繞。第一框架元件110可在側向上與第二框架元件120間隔開。舉例來說,第一框架元件110的內側壁遠離第二框架元件120的外側壁,如圖1中所示。作為另外一種選擇,第一框架元件110可與第二框架元件120接觸。舉例來說,第一框架元件110的內側壁在實體上連接到第二框架元件120的外側壁。在一些實施例中,第一框架元件110及第二框架元件120獨立地由具有足夠剛度(可透過其楊氏模數來量化)的材料製成,以用於保護設置在其中的元件且用於支撐設置在其上的元件。第一框架元件110及第二框架元件120的材料可包括介電材料或者介電材料與導電材料的組合。舉例來說,第一框架元件110及第二框架元件120由金屬材料(例如金屬或金屬合金)製成。在一個實施例中,第一框架元件110的材料與第二框架元件120的材料相同。在替代實施例中,第一框架元件110的材料與第二框架元件120的材料不同。
第一框架元件110及第二框架元件120可立在基座(未示出)上且可透過基座機械連接到彼此,使得可限定用於容納光發射元件130及頂出器元件140的容納空間。舉例來說,光發射元件130及頂出器元件140設置在第一框架元件110及第二框架元件120內部。光發射元件130及頂出器元件140可被第二框架元件120的內側壁環繞且位於第一框架元件110的頂表面110t及第二框架元件120的頂表面120t下方,如圖1中所示。換句話說,舉例來說,光發射元件130及頂出器元件140位於粘合膜300之下,如圖1中所示。
在一些實施例中,光發射元件130包括光源單元(light source unit)132、透鏡單元(lens unit)134及具有光出射端口(light exiting port)130a的殼體(housing)136,其中光源單元132及透鏡單元134設置在殼體136內部,如圖1及圖16中所示。在一些實施例中,光源單元132包括以約100 J/cm 2到約1000 J/cm 2的強度發射波長為約10 nm到約400 nm的紫外光(例如,圖2中所繪示的L)的紫外光源。舉例來說,光源單元132包括發射紫外光的光發射二極體(light emitting diode,LED)燈(LED lamp)。光源單元132可被稱為UV抹除器(UV erase)或紫外光發射器(UV light emitter)。在一些實施例中,從光源單元132發射的紫外光具有用於傳輸的光路徑PL且透過穿過光出射端口130a從光發射元件130出射,其中透鏡單元134設置在由光源單元132發射的紫外光的光路徑PL處且位於光源單元132與殼體136的光出射端口130a之間。也就是說,透鏡單元134可光學耦合到光源單元132。在一些實施例中,透鏡單元134包括至少一個光學透鏡。舉例來說,透鏡單元134包括亮度增強膜(brightness enhancement film,BEF)、光擴散膜(或光擴散器膜)、任何其他合適的光學透鏡或膜、或其組合。本公開並不僅限於此;作為另外一種選擇,可省略透鏡單元134。
如圖1、圖16及圖17中所示,在X-Y平面上的俯視(平面)圖中,光出射端口130a的形狀是矩形形狀,其中沿著方向X的第一側向尺寸(lateral size)L5近似介於從5 mm到50 mm的範圍內,且沿著方向Y的第二側向尺寸L6近似介於從5 mm到50 mm的範圍內。然而,依據設計要求而定,光出射端口130a的形狀可為卵形形狀、圓形形狀、橢圓形形狀或正方形形狀,只要光出射端口130a的總面積能夠滿足由第一側向尺寸L5與第二側向尺寸L6限定的面積要求即可;本公開並不僅限於此。如圖1中所示,舉例來說,光出射端口130a面朝第一框架元件110的頂表面110t及第二框架元件120的頂表面120t且遠離粘合膜300的底表面300b。在一些實施例中,光出射端口130a與粘合膜300的底表面300b相距距離D1,其中距離D1近似介於從1 mm到10 mm的範圍內,但作為另外一種選擇可利用其他合適的厚度。
在一些實施例中,頂出器元件140包括馬達(motor)141、銷卡盤(pin chuck)142、多個銷143及殼體144,其中馬達141、銷卡盤142及銷143設置在殼體144內部,如圖1及圖18中所示。在一些實施例中,銷卡盤142設置在馬達141上,且銷143設置在銷卡盤142上且局部嵌入銷卡盤142中,以與馬達141連接。舉例來說,馬達141被配置成在製造半導體封裝SP1期間根據需要相對於殼體144的頂表面144t來提升及降低銷143,以控制銷143的運動。銷143可被稱為提升銷(lifting pin)或拾取銷(pick-up pin)。
銷143可局部地從殼體144的頂表面144t突出且可面朝第一框架元件110的頂表面110t及第二框架元件120的頂表面120t。舉例來說,銷143的一些部分的端部143e從殼體144突出且位於殼體144的頂表面144t與第一框架元件110的頂表面110t及第二框架元件120的頂表面120t之間。在一些實施例中,殼體144的頂表面144t具有中心區R1及環繞中心區R1的週邊區R2。如圖19中所示,中心區R1可被週邊區R2包圍。銷143可排列成陣列。在一些實施例中,銷143以矩陣的形式排列,例如沿著方向X及方向Y的N’×N’陣列或N’×M’陣列(N’、M’ >0,N’可等於或可不等於M’)。在一些實施例中,排列成緊鄰的行和/或列的銷143以對準方式(例如,陣列形式)定位在X-Y平面上,如圖19中所示。在替代實施例中,排列成緊鄰的行和/或列的銷143以交錯方式(例如,交錯形式)定位在X-Y平面上,如圖20中所示。在進一步的替代實施例中,銷143以同心方式排列成預定圖案,其中至少一個銷143位於中心區R1(例如,其中心)處且被位於X-Y平面上的週邊區R2處的其他銷143環繞,並且位於週邊區R2處的銷143呈徑向排列(radial arrangement)形式,如圖21中所示。
在一些實施例中,設置在中心區R1中的銷143與設置在週邊區R2中的銷143同時向上移動。在替代實施例中,設置在中心區R1中的銷143同時向上移動;此後,設置在週邊區R2中的銷143同時向上移動。在進一步的替代實施例中,設置在週邊區R2中的銷143同時向上移動;此後,設置在中心區R1中的銷143同時向上移動。在又一些替代實施例中,銷143在從中心區R1的中心到週邊區R2的外邊緣的方向上逐漸向上移動。作為另外一種選擇,銷143在從週邊區R2的外邊緣到中心區R1的中心的方向上逐漸向上移動。在一些其他實施例中,僅設置在中心區R1中的銷143向上移動;或者僅設置在週邊區R2中的銷143向上移動。然而,本公開並不僅限於此,在以上實施例中,中心區R1中的銷143可部分或全部向上移動,且週邊區R2中的銷143可部分或全部向上移動。
如圖1、圖18及圖19中所示,在X-Y平面上的俯視(平面)圖中,頂出器元件140的形狀是矩形形狀,其中沿著方向X的第一側向尺寸L7近似介於從20 mm到40 mm的範圍內,且沿著方向Y的第二側向尺寸L8近似介於從20 mm到40 mm的範圍內。然而,依據設計要求而定,頂出器元件140的形狀可為卵形形狀、圓形形狀、橢圓形形狀或正方形形狀,只要頂出器元件140的總面積能夠滿足由第一側向尺寸L7與第二側向尺寸L8限定的面積要求即可;本公開並不僅限於此。
在一些實施例中,銷卡盤142的材料、銷143的材料及殼體144的材料獨立地包括金屬材料,例如金屬或金屬合金。舉例來說,銷卡盤142、銷143及殼體144可獨立地由鐵(Fe)、鉻(Cr)、鎳(Ni)、鋁(Al)、不銹鋼(stainless steel)、其組合等製成。銷卡盤142的材料、銷143的材料及殼體144的材料可相同。本公開並不僅限於此;作為另外一種選擇,銷卡盤142的材料、銷143的材料及殼體144的材料可部分或全部不同。
在一些實施例中,收集器元件150包括本體(body)152、嵌入本體152中的通道(channel)154以及連接到通道154的真空元件(vacuum element)(未示出),如圖1中所示。舉例來說,真空元件被配置成向通道154提供真空力(例如,產生負壓)以用於拾取半導體晶粒200A。通道154可被稱為真空路徑或真空通道。舉例來說,通道154在本體152的表面S1處具有開口孔(未標記,具有寬度W1),其中表面S1面對半導體晶粒200,如圖1中所示。在一些實施例中,本體152的材料包括金屬材料,例如金屬或金屬合金。本體152的材料可與銷卡盤142的材料、銷143的材料及殼體144的材料相同。本公開並不僅限於此;作為另外一種選擇,本體152的材料與銷卡盤142的材料、銷143的材料及殼體144的材料可部分或全部不同。
參照圖2,在一些實施例中,根據圖28中的方法1000的步驟S1020,將紫外光L輻射到粘合膜300上。在一些實施例中,粘合膜300的底表面300b被紫外光L局部輻射以形成粘合膜300’,其中粘合膜300的未暴露於紫外光L的一部分形成粘合膜300’的第一部分310,且粘合膜300的暴露於紫外光L的一部分形成粘合膜300’的第二部分320。如圖2中所示,舉例來說,粘合膜300’是連續膜,且粘合膜300’的第一部分310與第二部分320連接到(例如,實體接觸)彼此。參照圖2及圖15,第二部分320可被第一部分310環繞。由於第二部分320被固化,第二部分320失去其粘合性質,因此第二部分320與設置在第二部分320上的半導體晶粒200(例如,半導體晶粒200A)的粘著充分降低,而第一部分310與設置在第一部分310上的半導體晶粒200(例如,半導體晶粒200A)的粘著保持不變(由於第一部分310未暴露於紫外光L)。
紫外光L對粘合膜300的輻射(例如,紫外光曝光或(UV)輻射製程)可透過但不限於以下方式來執行:開啟光發射元件130以發射紫外光L,在室溫(例如,大約20攝氏度到25攝氏度)下將粘合膜300暴露于紫外光L達約0秒(例如,大於或等於0秒)到約10秒(例如,小於或等於10秒)的處理時間,因此完全固化粘合膜300的暴露於紫外光L的所述一部分(因此形成第二部分320),且關閉光發射元件130。舉例來說,紫外光L的波長近似介於從10 nm到400 nm的範圍內且強度近似介於100 J/cm 2到1000 J/cm 2的範圍內。
在一些實施例中,在開啟光發射元件130之前,光發射元件130在半導體晶粒200A正下方移動,使得半導體晶粒200A的中心實質上與光發射元件130的中心對準,其中此種配置可被認為是拾取製程的適當配置。拾取製程的此種適當配置可被稱為第一配置。在使用第一配置的情況下,光發射元件130與半導體晶粒200A可沿著堆疊方向Z彼此交疊,如圖2中所示。在一些實施例中,拾取裝置100A還包括移動機構(moving mechanism),其中光發射元件130連接到移動機構(未示出)以控制光發射元件130的移動。舉例來說,移動機構被配置成使光發射元件130沿著堆疊方向Z垂直移動和/或沿著方向X和/或方向Y水準移動。移動機構可包括機械臂。
在某些實施例中,在輻射期間,光發射元件130、半導體晶粒200A及收集器元件150以第一配置進行定位。也就是說,舉例來說,光發射元件130的中心、半導體晶粒200A的中心及收集器元件150的中心沿著堆疊方向Z實質上彼此對準。如圖2中所示,光發射元件130、半導體晶粒200A及收集器元件150可沿著堆疊方向Z彼此交疊。
如圖2及圖15中所示,出於例示目的,在俯視(平面)圖中強調包括半導體晶粒200A以及粘合膜300’的第二部分320的某些結構特徵。在一些實施例中,在X-Y平面上的俯視(平面)圖中,半導體晶粒200A的形狀是矩形形狀,其中沿著方向X的第一側向尺寸L1近似介於從1 mm到10 mm的範圍內,且沿著方向Y的第二側向尺寸L2近似介於從1 mm到10 mm的範圍內。依據設計要求而定,半導體晶粒200A的形狀可為卵形形狀、圓形形狀、橢圓形形狀或正方形形狀;本公開並不僅限於此。在一些實施例中,在X-Y平面上的俯視(平面)圖中,第二部分320的形狀是具有沿著方向X的第一側向尺寸L3與沿著方向Y的第二側向尺寸L4的矩形形狀。舉例來說,第二部分320的第一側向尺寸L3與半導體晶粒200A的第一側向尺寸L1的比率近似介於從0.9到1.1的範圍內。舉例來說,第二部分320的第二側向尺寸L4與半導體晶粒200A的第二側向尺寸L2的比率近似介於從0.9到1.1的範圍內。依據設計要求而定,第二部分320的形狀可為卵形形狀、圓形形狀、橢圓形形狀或正方形形狀;本公開並不僅限於此。
如圖2中所示,第二部分320的第一側向尺寸L3可大於半導體晶粒200A的第一側向尺寸L1,且第二部分320的第二側向尺寸L4可大於半導體晶粒200A的第二側向尺寸L2。作為另外一種選擇,第二部分320的第一側向尺寸L3可等於半導體晶粒200A的第一側向尺寸L1,且第二部分320的第二側向尺寸L4可等於半導體晶粒200A的第二側向尺寸L2。或者作為另外一種選擇,第二部分320的第一側向尺寸L3可小於半導體晶粒200A的第一側向尺寸L1,且第二部分320的第二側向尺寸L4可小於半導體晶粒200A的第二側向尺寸L2。在一些實施例中,第二部分320的第一側向尺寸L3及第二側向尺寸L4分別獨立地小於、大於或等於半導體晶粒200A的第一側向尺寸L1及第二側向尺寸L2;只要其滿足上述比率的要求即可。在使用上述比率的情況下,在輻射之後,第二部分320與設置在第二部分320上的半導體晶粒200(例如,半導體晶粒200A)的粘著充分降低,從而便於從第二部分320對半導體晶粒200(例如,半導體晶粒200A)的拾取。
參照圖3,在一些實施例中,頂出器元件140相對於半導體晶粒200A以第一配置進行定位。舉例來說,頂出器元件140的中心實質上與半導體晶粒200A的中心沿著堆疊方向Z對準。頂出器元件140與半導體晶粒200A可沿著堆疊方向Z彼此交疊,如圖3中所示。在一些實施例中,拾取裝置100A還包括移動機構,其中頂出器元件140連接到移動機構(未示出)以控制頂出器元件140的移動。舉例來說,移動機構被配置成使頂出器元件140沿著堆疊方向Z垂直移動和/或沿著方向X和/或方向Y水準移動。移動機構可包括機械臂。
在某些實施例中,頂出器元件140、半導體晶粒200A及收集器元件150以第一配置進行定位。也就是說,舉例來說,頂出器元件140的中心、半導體晶粒200A的中心及收集器元件150的中心實質上沿著堆疊方向Z彼此對準。頂出器元件140、半導體晶粒200A及收集器元件150可沿著堆疊方向Z彼此交疊,如圖3中所示。
在一些實施例中,在使頂出器元件140相對於半導體晶粒200A以第一配置進行定位之前,將光發射元件130重新定位成第二配置,其中在使用第二配置的情況下,光發射元件130與半導體晶粒200A偏置開(例如,不交疊)。舉例來說,光發射元件130的定位位置在沿著堆疊方向Z的垂直投影中遠離半導體晶粒200A的定位位置(例如,與半導體晶粒200A的定位位置間隔開),如圖3中所示。
參照圖4,在一些實施例中,根據圖28中的方法1000的步驟S1030,將粘合膜300’向上提升以使半導體晶粒200A至少局部地從粘合膜300’脫離。在一些實施例中,將頂出器元件140的銷143向上提升,直到第二部分320被向上推動到第二部分320與第一部分310之間存在高度差的位置。舉例來說,銷143的端部143e與粘合膜300’的第二部分320的底表面300b實體接觸。在使用此種提升製程(lifting process)的情況下,第二部分320的抵靠到銷143的多個部分仍與半導體晶粒200A的後側表面BS接觸,而第二部分320的不接觸銷143的其餘部分(例如,透過間隙G)從半導體晶粒200A的後側表面BS脫離,如圖4中所示。由於頂出器元件140,第二部分320與設置在第二部分320上的半導體晶粒200(例如,半導體晶粒200A)的粘著進一步降低,從而便於從第二部分320對半導體晶粒200(例如,半導體晶粒200A)的拾取。前面已在圖18到圖21中闡述了銷143的移動,且因此為簡潔起見在本文中不再重複。在一些實施例中,在輻射製程之後的0秒(例如,大於或等於0秒)到10秒(例如,小於或等於10秒)執行提升製程。
參照圖5及圖6,在一些實施例中,根據圖28中的方法1000的步驟S1040,透過收集器元件150從粘合膜300’移除(例如,拾取)半導體晶粒200A。舉例來說,收集器元件150沿著堆疊方向Z向下移動,直到收集器元件150的表面S1與半導體晶粒200A的前側表面FS(實體)接觸,且收集器元件150透過通道154對半導體晶粒200A施加真空力,使得半導體晶粒200A透過吸力(suction force)被收集器元件150固持,如圖5中所示。當半導體晶粒200A由收集器元件150固持時,半導體晶粒200A與收集器元件150之間存在直接接觸。收集器元件150可被稱為接觸模式收集器。此後,收集器元件150沿著堆疊方向Z向上移動,且然後頂出器元件140的銷143降低到其初始位置,其中第二部分320也降低回其初始位置,在所述初始位置中第二部分320與第一部分310之間不存在高度差,從而使半導體晶粒200A的後側表面BS從粘合膜300’的第二部分320的頂表面300t完全脫離,例如如圖6中所示。由於光發射元件130及頂出器元件140,第二部分320與設置在第二部分320上的半導體晶粒200(例如,半導體晶粒200A)之間的脫離強度大大降低,用於固持半導體晶粒200(例如,半導體晶粒200A)的收集器元件150的表面S1與半導體晶粒200(例如,半導體晶粒200A)之間的接觸力可降低,從而可抑制和/或避免由收集器元件150的表面S1上的殘餘物(例如,不期望的顆粒)對半導體晶粒200(例如,半導體晶粒200A)(例如,前側表面FS)造成的損壞。另外,由於拾取裝置100A的光發射元件130及頂出器元件140,第二部分320與設置在第二部分320上的半導體晶粒200(例如,半導體晶粒200A)之間的脫離強度大大降低,從而便於從第二部分320對半導體晶粒200(例如,半導體晶粒200A)的拾取且抑制對半導體晶粒200(例如,半導體晶粒200A)的損壞(例如裂紋)。
在一些實施例中,拾取裝置100A還包括移動機構,其中收集器元件150連接到移動機構(未示出)以控制收集器元件150的移動。舉例來說,移動機構被配置成使收集器元件150沿著堆疊方向Z垂直移動和/或沿著方向X和/或方向Y水準移動。移動機構可包括機械臂。在一些實施例中,透過使用光學顯微鏡(例如,檢測半導體晶粒200A上的對準標記的光反射強度)確定半導體晶粒200A的位置而使收集器元件150精確地移動到正好上覆在半導體晶粒200A上的位置(例如,相對於半導體晶粒200A的第一配置)。換句話說,拾取裝置100A可包括整合在收集器元件150中的一個或多於一個光學顯微鏡或者靠近收集器元件150安裝到移動機構上的一個或多於一個光學顯微鏡。對準標記可包括形成在半導體晶粒200A上或半導體晶粒200A中的一個或多個金屬圖案。
同時參照圖6與圖7,在一些實施例中,在透過收集器元件150使半導體晶粒200A從粘合膜300’脫離(例如,從粘合膜300’剝離)之後,將收集器元件150與由收集器元件150固持的半導體晶粒200A翻轉(上下顛倒)。舉例來說,然後使半導體晶粒200A的後側表面BS面朝上。
參照圖8,在一些實施例中,提供接合器元件(bonder element)650並將接合器元件650放置在半導體晶粒200A之上,以用於從收集器元件150拾取半導體晶粒200A。在一些實施例中,接合器元件650包括本體652、嵌入本體652中的通道654以及連接到通道654的真空元件(未示出),如圖8中所示。舉例來說,真空元件被配置成向通道654提供真空力(例如,產生負壓)以用於拾取半導體晶粒200A。通道654可被稱為真空路徑或真空通道。舉例來說,通道654在本體652的表面S3處具有開口孔,其中表面S3面對半導體晶粒200A,如圖8中所示。在一些實施例中,本體652的材料包括金屬材料,例如金屬或金屬合金。本體652的材料可與本體152的材料相同。本公開並不僅限於此;作為另外一種選擇,本體652的材料可與本體152的材料不同。
在一些實施例中,接合器元件650的表面S3與半導體晶粒200A的後側表面BS接觸,且接合器元件650透過通道654對半導體晶粒200A施加真空力,使得半導體晶粒200A由接合器元件650透過直接接觸固持,如圖8中所示。在一些實施例中,透過使用光學顯微鏡(例如,檢測半導體晶粒200A上的對準標記的光反射強度)確定半導體晶粒200A的位置而使接合器元件650精確地移動到正好上覆在半導體晶粒200A上的位置(例如,相對於半導體晶粒200A的第一配置)。換句話說,拾取裝置100A可包括整合在接合器元件650中的一個或多於一個光學顯微鏡或者靠近接合器元件650安裝到移動機構上的一個或多於一個光學顯微鏡。對準標記可包括形成在半導體晶粒200A上或半導體晶粒200A中的一個或多個金屬圖案。在一些實施例中,拾取裝置100A還包括移動機構,其中接合器元件650連接到移動機構(未示出)以控制接合器元件650的移動。舉例來說,移動機構被配置成使接合器元件650沿著堆疊方向Z垂直移動和/或沿著方向X和/或方向Y水準移動。移動機構可包括機械臂。
同時參照圖8與圖9,在一些實施例中,在半導體晶粒200A由接合器元件650透過後側表面BS牢固地固持之後,透過從收集器元件150的表面S1釋放半導體的前側表面FS而使收集器元件150釋放半導體晶粒200A。接合器元件650可被稱為接合頭(bonder head)。
在一些實施例中,在半導體晶粒200A透過如圖1到圖6中闡述的拾取裝置100A從臨時固持元件(例如粘合膜300)被拾取且被進一步轉移成由如圖7到圖9中闡述的接合器元件650固持之後,將半導體晶粒200A放置在用於製造如圖11中所繪示的半導體封裝SP1的載體(例如,圖10中所繪示的載體1120)之上。
參照圖10,在一些實施例中,提供載體1120且在載體1120之上設置重佈線電路結構1200、多個導電柱1300及半導體晶粒200A。前面已在圖1中闡述了半導體晶粒200A的細節,且因此為簡潔起見在本文中不再重複。在一個實施例中,載體1120可為玻璃載體或承載用於半導體封裝製造方法的半導體晶圓或重構晶圓的任何合適的載體。在替代實施例中,載體1120可為用於半導體封裝製造方法的回收晶圓(reclaim wafer)或重構晶圓。舉例來說,當載體1120的材料是Si基底,載體1120可用作半導體封裝SP1的散熱元件。在此種實施例中,載體1120可進一步用於翹曲控制。在製造半導體封裝之後移除載體1120的一些替代實施例中,載體1120可進一步塗覆有剝離層1140。舉例來說,剝離層1140設置在載體1120上,且剝離層1140的材料可為適合將載體1120與上方的層(例如,緩衝層)或設置在載體1120上的任何晶圓進行接合及剝離的任何材料。在一些實施例中,剝離層1140可包括釋放層(例如光熱轉換(light-to-heat conversion,“LTHC”)層)或粘合層(例如紫外固化粘合層或熱固化粘合層)。
在一些實施例中,在載體1120上形成重佈線電路結構1200。重佈線電路結構1200的形成可包括以交替方式依序形成一個或多個聚合物介電層1220與一個或多個金屬化層1240。舉例來說,如圖10中所示,重佈線電路結構1200包括兩個聚合物介電層1220及一個金屬化層1240;然而,本公開並不僅限於此。重佈線電路結構1200中所包括的金屬化層的數目及聚合物介電層的數目並不僅限於此。舉例來說,金屬化層的數目及聚合物介電層的數目可為一個或多於一個。由於聚合物介電層1220及金屬化層1240的配置,為半導體封裝SP1提供了佈線功能。
舉例來說,如圖10中所示,金屬化層1240設置在載體1120之上且夾置在聚合物介電層1220之間,其中金屬化層1240的頂表面的一些部分被聚合物介電層1220的最頂層暴露出,且金屬化層1240的底表面被聚合物介電層1220的最底層覆蓋。在一些實施例中,聚合物介電層1220的材料可包括聚醯亞胺、環氧樹脂、丙烯酸樹脂、酚醛樹脂、苯並環丁烯(BCB)、聚苯並惡唑(PBO)或任何其他合適的聚合物系介電材料,且聚合物介電層1220可透過沉積形成。在一些實施例中,金屬化層1240的材料可包括鋁、鈦、銅、鎳、鎢和/或其合金,且金屬化層1240可透過電鍍或沉積形成。本公開並不僅限於此。
在一些實施例中,如圖10中所示,在重佈線電路結構1200上形成導電柱1300。舉例來說,導電柱1300實體連接到金屬化層1240的頂表面的被聚合物介電層1220的最頂層暴露出的部分。換句話說,導電柱1300電連接到重佈線電路結構1200。在一些實施例中,導電柱1300可為整合扇出型(integrated fan-out,InFO)穿孔。為簡明起見,出於例示目的而在圖10中僅呈現兩個導電柱1300,然而應注意,可形成多於兩個的穿孔;本公開並不僅限於此。可基於需求選擇導電柱1300的數目。
在一些實施例中,透過微影、鍍覆、微影膠剝離製程或任何其他合適的方法形成導電柱1300。在一個實施例中,可透過以下方式形成導電柱1300:形成覆蓋重佈線電路結構1200的罩幕圖案(未示出),所述罩幕圖案具有暴露出由聚合物介電層1220的最頂層暴露出的金屬化層1240的頂表面的多個開口,透過電鍍或沉積形成對所述多個開口進行填充的金屬材料以形成導電柱1300,且然後移除罩幕圖案。在一個實施例中,導電柱1300的材料可包括金屬材料,例如銅或銅合金等。然而,本公開並不僅限於此。
在一些實施例中,如圖10中所示,半導體晶粒200A透過接合器元件650放置在重佈線電路結構1200上。在放置之後,可從接合器元件650釋放半導體晶粒200A,以完全顯露出半導體晶粒200A的後側表面BS。在一些實施例中,在放置期間,採用接合器元件650的光學顯微鏡(例如,檢測重佈線電路結構1200上的對準標記的光反射強度)確定用於設置半導體晶粒200A的位置。對準標記可包括形成在重佈線電路結構1200上或重佈線電路結構1200中的一個或多個金屬圖案。出於例示目的而在圖10中僅示出一個半導體晶粒200A,但半導體晶粒200A的數目可基於需求及設計要求而為一個或多個。
此後,可將半導體晶粒200A接合到重佈線電路結構1200。在一些實施例中,執行接合製程以將半導體晶粒200A接合到重佈線電路結構1200。舉例來說,半導體晶粒200A與重佈線電路結構1200之間的接合介面(未標記)包括金屬對金屬接合(例如,銅對銅接合)及介電質對介電質接合(例如,氧化物對氧化物接合、氧化物對氮化物接合或氮化物對氮化物接合)。也就是說,接合製程包括例如混合接合(hybrid bonding)製程。在一些實施例中,透過直接金屬對金屬接合將半導體晶粒200A的連接通孔240與重佈線電路結構1200的金屬化層1240接合在一起,且透過直接介電質對介電質接合將半導體晶粒200A的保護層250與重佈線電路結構1200的聚合物介電層1220的最頂層接合在一起。在本公開中,接合介面(bonding interface)可被稱為混合接合介面。換句話說,半導體晶粒200A電連接到重佈線電路結構1200,且導電柱1300中的至少一些導電柱1300透過重佈線電路結構1200電連接到半導體晶粒200A。重佈線電路結構1200可被稱為半導體晶粒200A的前側重佈線層(front-side redistribution layer)。
在一些實施例中,在堆疊方向Z上,半導體晶粒200A的厚度可大於導電柱1300的高度,如圖10中所示。然而,本公開並不僅限於此。在替代實施例中,在堆疊方向Z上,半導體晶粒200A的厚度可小於或實質上等於導電柱1300的高度。如圖10中所示,可在形成導電柱1300之前拾取半導體晶粒200A並將半導體晶粒200A放置在重佈線電路結構1200上。然而,本公開並不僅限於此。在替代實施例中,可在形成導電柱1300之後拾取半導體晶粒200A並將半導體晶粒200A放置在重佈線電路結構1200上。導電柱1300的橫截面形狀可基於需求來選擇且並不僅限於本公開的實施例。
參照圖11,在一些實施例中,將半導體晶粒200A及導電柱1300包封在絕緣包封體1400中,且在絕緣包封體1400上依序形成重佈線電路結構1500與多個導電端子1600。在一些實施例中,重佈線電路結構1500透過導電柱1300及重佈線電路結構1200電連接到半導體晶粒200A。在一些實施例中,導電端子1600中的一些導電端子1600透過重佈線電路結構1500、導電柱1300及重佈線電路結構1200電連接到半導體晶粒200A。
絕緣包封體1400的形成可包括但不限於:在載體1120之上(例如,在重佈線電路結構1200上)形成絕緣包封體1400,以覆蓋重佈線電路結構1200並包封半導體晶粒200A及導電柱1300(例如,直到重佈線電路結構1200、半導體晶粒200A及導電柱1300被絕緣包封體1400覆蓋並嵌入絕緣包封體1400中),且然後將絕緣包封體1400平坦化(例如,直到半導體晶粒200A的導電柱260的表面260b及導電柱1300的表面1300t被絕緣包封體1400的表面1400t暴露出)。在一些實施例中,絕緣包封體1400是透過模制製程形成的模制化合物,且絕緣包封體1400的材料可包括環氧樹脂或其他合適的樹脂。舉例來說,絕緣包封體1400可為含有化學填料的環氧樹脂。在絕緣包封體1400的平坦化製程期間,也可將半導體晶粒200A的半導體基底210、導電柱260和/或襯墊270和/或導電柱1300平坦化。舉例來說,絕緣包封體1400可透過機械研磨製程或化學機械拋光(chemical mechanical polishing,CMP)製程形成。在平坦化製程之後,可以可選地執行清潔步驟,例如清潔及移除平坦化步驟產生的殘餘物。然而,本公開並不僅限於此,且平坦化步驟可透過任何其他合適的方法來執行。
重佈線電路結構1500的形成可包括但不限於形成交替堆疊的多個聚合物介電層1520與多個金屬化層1540。聚合物介電層1520的形成及材料可與圖10中闡述的聚合物介電層1220的形成及材料相同或相似,且金屬化層1540的形成及材料可與圖10中闡述的金屬化層1240的形成及材料相同或相似,且因此為簡潔起見在本文中不再重複。在某些實施例中,金屬化層1540的最頂層可包括多個墊。在此種實施例中,上述墊可包括用於球安裝的多個球下金屬(under-ball metallurgy,UBM)圖案1540a。然而,本公開並不僅限於此。在替代實施例中,金屬化層1540中的最頂部金屬化層1540可包括用於球安裝的多個UBM圖案1540a和/或用於安裝其他半導體元件的多個連接墊(未示出),且根據本公開,UBM圖案1540a的數目及連接墊的數目不受限制。在一些實施例中,重佈線電路結構1500可被稱為半導體晶粒200A的後側重佈線層(back-side redistribution layer)。
在一些實施例中,可透過植球製程和/或回焊製程或其他合適的形成方法將導電端子1600放置在UBM圖案1540a上。在一些實施例中,導電端子1600可為球柵陣列(ball grid array,BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold technique,ENEPIG)形成的凸塊等。導電端子1600的材料例如可包括導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似材料或其組合。在一個實施例中,導電端子1600的材料例如可為無焊料的。在一些實施例中,透過重佈線電路結構1500、UBM圖案1540a、導電柱1300及重佈線電路結構1200,導電端子1600中的一些導電端子1600電連接到半導體晶粒200A。在一些實施例中,透過重佈線電路結構1500及UBM圖案1540a,導電端子1600中的一些導電端子1600電連接到導電柱1300。在一些實施例中,透過重佈線電路結構1500、UBM圖案1540a及導電柱1300,導電端子1600中的一些導電端子電連接到重佈線電路結構1200。在某些實施例中,導電端子1600中的一些導電端子1600可電浮動或接地,本公開並不僅限於此。
在形成導電端子1600之後,在一些實施例中,執行切割製程以切穿重佈線電路結構1500、絕緣包封體1400及重佈線電路結構1200,以獲得各別且分離的多個半導體封裝SP1。在一個實施例中,切割製程是包括機械刀片鋸切或雷射切分的晶圓切割製程。至此,半導體封裝SP1的製造完成。在切割製程期間,在切分工件之前採用固持元件(未示出)來固定工件,所述工件包括彼此內連的多個半導體封裝SP1,以防止由後續製程或運輸造成的損壞。舉例來說,固持元件可為粘合膠帶、載體膜或吸墊(suction pad)。作為另外一種選擇,在切割製程之後,可透過剝離製程將載體1120從重佈線電路結構1200拆離,其中載體1120及剝離層1140可被移除且重佈線電路結構1200可被暴露出。半導體封裝SP1可被稱為InFO封裝。
基於設計佈局及需求,透過導電端子1600和/或其他附加連接件,半導體封裝SP1可進一步安裝有電路基底、仲介層(interposer)、附加封裝、晶片/晶粒或其他電子元件,以形成堆疊封裝結構(stacked package structure)。出於例示目的,以下提供實例(例如,圖12所示半導體封裝SP2、圖13所示半導體封裝SP3及圖14所示半導體封裝SP4),但本公開並不僅限於此。與前面闡述的元件相似或實質上相同的元件將使用相同的參考編號,且相同元件的某些細節或說明(例如,材料、形成製程、定位配置等)在本文中將不再重複。
參照圖12,在一些實施例中,提供基底5000。在一些實施例中,基底5000包括多個接觸墊5100、多個接觸墊5200、多個金屬化層5300及多個通孔(未示出)。在一些實施例中,接觸墊5100與接觸墊5200分別分佈在基底5000的兩個相對的側上且被暴露出以用於與稍後形成的元件/特徵電連接。在一些實施例中,金屬化層5300及通孔嵌入基底5000中且一同為基底5000提供佈線功能,其中金屬化層5300及通孔電連接到接觸墊5100及接觸墊5200。也就是說,接觸墊5100中的至少一些接觸墊5100透過金屬化層5300及通孔電連接到接觸墊5200中的一些接觸墊5200。在一些實施例中,接觸墊5100及接觸墊5200可包括金屬墊或金屬合金墊。在一些實施例中,金屬化層5300及通孔的材料可與接觸墊5100和/或5200的材料實質上相同或相似,且因此為簡明起見在本文中不再重複。
在一些實施例中,如圖12中所示,透過實體連接導電端子1600與接觸墊5100,將圖11中所繪示的半導體封裝SP1接合到基底5000,以形成具有堆疊結構的半導體封裝SP2,其中半導體封裝SP1實體連接到及電連接到基底5000。前面已在圖1到圖11中闡述了半導體封裝SP1的細節,因此在本文中不再重複。在一些實施例中,基底5000被稱為電路基底,例如有機柔性基底或印刷電路板。在此種實施例中,導電端子1600是例如晶片連接件或BGA球。
在一些實施例中,在基底5000上形成有多個導電端子6000。如圖12中所示,舉例來說,導電端子6000連接到基底5000的接觸墊5200。換句話說,導電端子6000透過接觸墊5200電連接到基底5000。透過接觸墊5100及接觸墊5200,導電端子6000中的一些導電端子6000電連接到半導體封裝SP1(例如,包括在其中的半導體晶粒200A)。在一些實施例中,導電端子6000是例如焊料球或BGA球。在一些實施例中,透過倒裝晶片接合,實體連接導電端子1600與基底5000的接觸墊5100而將半導體封裝SP1接合到基底5000。半導體封裝SP2可被稱為倒裝晶片封裝(具有InFO封裝)。
參照圖13,在一些實施例中,提供電路元件2000。在一些實施例中,電路元件2000包括核心部分2100、多個通孔2200、重佈線電路結構2300、重佈線電路結構2400、焊料罩幕層2520a、焊料罩幕層2520b、多個接合墊2540a及多個接合墊2540b。在一些實施例中,核心部分2100可包括塊狀矽基底,例如單晶矽的塊狀基底、經摻雜矽基底、未經摻雜矽基底或SOI基底,其中經摻雜矽基底的摻雜劑可為N型摻雜劑、P型摻雜劑或其組合。在一些實施例中,通孔2200是穿透核心部分2100的矽穿孔(through silicon via)。在本公開中,電路元件2000被稱為仲介層(參見圖13)。
在一些實施例中,在核心部分2100的兩個相對的側上分別設置有重佈線電路結構2300及重佈線電路結構2400,如圖13中所示。在一些實施例中,重佈線電路結構2300和/或重佈線電路結構2400電連接到穿透核心部分2100的通孔2200。在一些實施例中,嵌入有通孔2200的核心部分2100位於重佈線電路結構2300與重佈線電路結構2400之間。透過通孔2200,重佈線電路結構2300與重佈線電路結構2400電連接到彼此。
在一些實施例中,重佈線電路結構2300包括以交替方式依序形成一個或多個介電層2320與一個或多個金屬化層2340,其中一個金屬化層2340夾置在兩個介電層2320之間。如圖13中所示,金屬化層2340的最頂層的頂表面的一些部分分別被形成在介電層2320的最頂層中的多個開口暴露出,以用於與其他導電特徵連接,且金屬化層2340的最底層的底表面的一些部分分別被形成在介電層2320的最底層中的多個開口暴露出,以用於與通孔2200連接。重佈線電路結構2300中所包括的介電層2320的數目及金屬化層2340的數目並不僅限於此,且可基於需求來指定及選擇。
在一些實施例中,重佈線電路結構2400包括以交替方式依序形成一個或多個介電層2420與一個或多個金屬化層2440,其中一個金屬化層2440夾置在兩個介電層2420之間。如圖13中所示,金屬化層2440的最頂層的頂表面的一些部分分別被形成在介電層2420的最頂層中的多個開口暴露出,以用於與通孔2200連接,且金屬化層2440的最底層的底表面的一些部分分別被形成在介電層2420的最底層中的多個開口暴露出,以用於與其他導電特徵連接。重佈線電路結構2400中所包括的介電層2420的數目及金屬化層2440的數目並不僅限於此,且可基於需求來指定及選擇。
在某些實施例中,介電層2320的材料及介電層2420的材料可為PI、PBO、BCB、例如氮化矽等氮化物、例如氧化矽等氧化物、PSG、BSG、BPSG、其組合等,可使用微影和/或蝕刻製程將介電層2320及介電層2420圖案化。在一些實施例中,介電層2320及介電層2420是透過合適的製作技術(例如旋轉塗布、CVD、PECVD等)形成。本公開並不僅限於此。在一個實施例中,介電層2320的材料與介電層2420的材料可相同。在替代實施例中,介電層2320的材料與介電層2420的材料可不同。
在某些實施例中,金屬化層2340的材料及金屬化層2440的材料可由透過電鍍或沉積形成的導電材料(例如鋁、鈦、銅、鎳、鎢和/或其合金)製成,可使用微影及蝕刻製程將金屬化層2340及金屬化層2440圖案化。在一些實施例中,金屬化層2340及金屬化層2440可為圖案化銅層或其他合適的圖案化金屬層。在一個實施例中,金屬化層2340的材料與金屬化層2440的材料可相同。在替代實施例中,金屬化層2340的材料與金屬化層2440的材料可不同。
在一些實施例中,接合墊2540a設置在重佈線電路結構2300的表面上且實體連接到被形成在介電層2320的最頂層中的開口暴露出的金屬化層2340的最頂層的頂表面的部分,其中接合墊2540a透過形成在設置有接合墊2540a的重佈線電路結構2300的表面上的焊料罩幕層2520a而彼此實體隔開。透過重佈線電路結構2300,接合墊2540a電連接到嵌入核心部分2100中的通孔2200。
在一些實施例中,接合墊2540b設置在重佈線電路結構2400的表面上且實體連接到被形成在介電層2420的最底層中的開口暴露出的金屬化層2440的最底層的底表面的部分,其中接合墊2540b透過形成在設置有接合墊2540b的重佈線電路結構2400的表面上的焊料罩幕層2520b而彼此實體隔開。透過重佈線電路結構2400,接合墊2540b電連接到嵌入核心部分2100中的通孔2200。
如圖13中所示,舉例來說,接合墊2540a電連接到重佈線電路結構2300且接合墊2540b電連接到重佈線電路結構2400。在一些實施例中,接合墊2540a及接合墊2540b可包括凸塊下金屬(under bump metallurgy,UBM),然而本公開並不僅限於此。如圖13中所示,舉例來說,接合墊2540a與接合墊2540b透過通孔2200、重佈線電路結構2300及重佈線電路結構2400電連接到彼此。
在替代實施例中,可從電路元件2000省略重佈線電路結構2300及重佈線電路結構2400中的一者或兩者,本公開並不僅限於此。也就是說,舉例來說,電路元件2000可包括核心部分2100、多個通孔2200、焊料罩幕層2520a、焊料罩幕層2520b、多個接合墊2540a及多個接合墊2540b,其中接合墊2540a與接合墊2540b透過通孔2200電連接到彼此。
在一些實施例中,在接合墊2540b上形成有多個導電端子4000。如圖13中所示,舉例來說,導電端子4000實體連接到接合墊2540b。換句話說,導電端子4000透過接合墊2540b電連接到電路元件2000。透過接合墊2540b,導電端子4000中的一些導電端子4000電連接到接合墊2540a中的一些接合墊2540a。在一些實施例中,導電端子4000是例如晶片連接件或BGA球。
繼續圖13,在一些實施例中,提供圖11中所繪示的半導體封裝SP1並將半導體封裝SP1接合到電路元件2000,且將電路元件2000接合到基底5000以形成具有堆疊結構的半導體封裝SP3。前面已在圖1到圖11中闡述了半導體封裝SP1的細節,且前面已在圖12中闡述了基底5000的細節,且因此在本文中不再重複。在一些實施例中,透過連接導電端子1600與電路元件2000的接合墊2540a而使半導體封裝SP1實體連接到電路元件2000,且透過連接導電端子4000與基底5000的接觸墊5100而使電路元件2000實體連接到基底5000。換句話說,半導體封裝SP1透過導電端子1600及接合墊2540a電連接到電路元件2000,電路元件2000透過導電端子4000及接觸墊5100電連接到基底5000,使得半導體封裝SP1透過導電端子1600、接合墊2540a、導電端子4000及接觸墊5100電連接到基底5000。在此種實施例中,導電端子1600是例如微凸塊而導電端子4000是晶片連接件,且導電端子6000是焊料球或BGA球。在某些實施例中,圖13中所繪示的半導體封裝SP3可透過基底上晶圓上晶片(chip on wafer on substrate,CoWoS)封裝製程形成。半導體封裝SP3可被稱為CoWoS封裝。
在一些實施例中,在電路元件2000上可選地形成底部填充膠3000。如圖13中所示,舉例來說,底部填充膠3000至少填充半導體封裝SP1與電路元件2000之間的間隙且包繞導電端子1600的側壁。在一些替代實施例中,半導體封裝SP1的側壁可進一步被底部填充膠3000覆蓋,本公開並不僅限於此。舉例來說,底部填充膠3000可為任何可接受的材料,例如聚合物、環氧樹脂、模制底部填充膠等。在一個實施例中,底部填充膠3000可透過底部填充膠分配或任何其他合適的方法形成。由於底部填充膠3000,半導體封裝SP1與電路元件2000之間的接合強度得到增強,從而改善封裝結構PS3的可靠性。
參照圖14,在一些實施例中,圖11中所繪示的半導體封裝SP1從載體1120及剝離層1140剝離,且多個導電端子7000設置在重佈線電路結構1200上且電連接到重佈線電路結構1200,以形成半導體封裝SP1’,其中半導體封裝SP1’接合到封裝8000,以形成半導體封裝SP4。半導體封裝SP1’的細節與圖1到圖11中闡述的半導體封裝SP1的細節相似或實質上相同,且因此在本文中不再重複。在一些實施例中,透過剝離步驟暴露出的重佈線電路結構1200被圖案化以暴露出金屬化層1240的一些部分。在一些實施例中,聚合物介電層1220的最底層被圖案化以形成分別暴露出金屬化層1240的底表面的一些部分的多個開口(未標記),且導電端子7000透過形成在聚合物介電層1220的最底層中的開口連接到重佈線電路結構1200。圖案化步驟可例如包括雷射鑽孔製程;然而,本公開並不僅限於此。開口的數目並不僅限於此,且可基於需求來指定及選擇。
在一些實施例中,封裝8000包括基底8100、多個半導體晶粒8200a及8200b、多個接合線(bonding wire)8300a及8300b、多個導電墊8400、多個導電墊8500、絕緣包封體8600及多個接合焊料球(未示出)。如圖14中所示,舉例來說,提供其上設置有連接膜DA1的半導體晶粒8200a及其上設置有連接膜DA2的半導體晶粒8200b,且將半導體晶粒8200a及半導體晶粒8200b設置在基底8100上。在一些實施例中,連接膜DA1位於半導體晶粒8200a與基底8100之間,且連接膜DA2位於半導體晶粒8200a與半導體晶粒8200b之間。在一些實施例中,由於分別設置在半導體晶粒8200a與基底8100之間以及半導體晶粒8200a與半導體晶粒8200b之間的連接膜DA1及DA2,半導體晶粒8200a、8200b被穩定地粘合到基底8100。在一些實施例中,連接膜DA1、DA2可為例如晶粒貼合膜、由粘合劑或環氧樹脂製成的層、或類似物等。
舉例來說,半導體晶粒8200a及半導體晶粒8200b安裝在基底8100的一個表面(例如,表面S6)上。在一些實施例中,半導體晶粒8200a及半導體晶粒8200b可為邏輯晶片(例如,CPU、GPU、NPU、DPU、TPU、SoC、AP、微控制器等)、記憶體晶片(例如,DRAM晶片、SRAM晶片等)、電源管理晶片(例如,PMIC晶片)、RF晶片、BB晶片、感測器晶片、MEMS晶片、訊號處理晶片(例如,DSP晶片)、前端晶片(例如,AFE晶片)、應用專用晶粒(例如,ASIC)、FPGA;其組合;或類似晶片。舉例來說,如圖14中所示,半導體晶粒8200a及半導體晶粒8200b是DRAM晶片。在一個實施例中,半導體晶粒8200a與半導體晶粒8200b可為相同的。然而,本公開並不僅限於此;在替代實施例中,半導體晶粒8200a與半導體晶粒8200b可彼此不同。
在一些實施例中,接合線8300a及接合線8300b分別用於提供半導體晶粒8200a、8200b與位於基底8100的表面S6上的導電墊8400(例如接合墊)中的一些導電墊8400之間的電連接。由於接合線8300a及接合線8300b,半導體晶粒8200a及半導體晶粒8200b電連接到基底8100。
在一些實施例中,絕緣包封體8600形成在基底8100的表面S6上以包封半導體晶粒8200a、8200b、接合線8300a、8300b及導電墊8400,從而保護這些元件。在一些實施例中,絕緣包封體8600的材料與絕緣包封體1400相同,且因此在本文中不再重複。在一個實施例中,絕緣包封體8600的材料與絕緣包封體1400不同,本公開並不僅限於此。
在一些實施例中,嵌入基底8100中的多個內連件(interconnect)(未示出)或多個絕緣體穿孔(through insulator via)(未示出)可用於提供導電墊8400與位於基底8100的另一表面(例如,與表面S6相對的表面S7)上的導電墊8500(例如接合墊)之間的電連接。在某些實施例中,除了導電墊8400中的一些導電墊8400及接合線8300a、8300b之外,導電墊8500中的一些導電墊8500透過這些絕緣體穿孔或內連件(未示出)電連接到半導體晶粒8200a及半導體晶粒8200b。
在一些實施例中,透過連接形成在封裝8000的導電墊8500上的接合焊料球(未示出)與半導體封裝SP1’的導電端子7000而使封裝8000的導電墊8500電連接到導電柱1300。在接合之後,接合焊料球及導電端子7000在下文中可被統稱為接頭(joints)7000。也就是說,半導體封裝SP1’與封裝8000透過夾置在半導體封裝SP1’與封裝8000之間的接頭7000電連接及實體連接,其中半導體晶粒8200a、8200b電連接到半導體封裝SP1’。換句話說,半導體晶粒8200a、8200b與半導體封裝SP1’的半導體晶粒200A電連通。
另外,如圖14中所示,舉例來說,底部填充膠9000填充封裝8000與半導體封裝SP1’之間以及接頭7000之間的間隙且進一步包封接頭7000。在一個實施例中,底部填充膠9000可透過底部填充膠分配或任何其他合適的方法形成。在一些實施例中,如前面所闡述,底部填充膠9000的材料可與平坦化的絕緣包封體1400的材料、絕緣包封體8600的材料和/或底部填充膠3000的材料相同或不同,本公開並不僅限於此。由於底部填充膠9000,半導體封裝SP4的接合強度得到增強。半導體封裝SP4可被稱為疊層封裝(Package-on-Package,PoP)結構的InFO封裝。作為另外一種選擇,半導體封裝SP4可透過導電端子1600進一步接合到電路基底(例如,基底5000)上,以形成具有PoP InFO封裝的倒裝晶片封裝。
然而,本公開並不僅限於此。拾取裝置100A還可在半導體封裝SP2、SP3及SP4的製造製程期間用於將半導體封裝SP1和/或晶粒轉移到電路基底、仲介層、附加封裝、晶片/晶粒或其他電子元件上,以形成堆疊封裝結構。
圖22是示出根據本公開一些替代實施例的製造半導體元件期間的拾取裝置的示意性剖視圖。與前面闡述的元件相似或實質上相同的元件將使用相同的參考編號,且相同元件的某些細節或說明(例如,材料、形成製程、定位配置等)在本文中將不再重複。在一些實施例中,圖22所示拾取裝置100B相似於圖1到圖6所示拾取裝置100A;不同之處在於拾取裝置100B包括第一框架元件110、第二框架元件120、光發射元件130、頂出器元件140及收集器元件160。換句話說,在拾取裝置100B中,收集器元件150被收集器元件160替代。
在一些實施例中,收集器元件160包括具有凹槽160a的本體162、嵌入本體162中的通道164以及連接到通道164的真空元件(未示出),如圖22中所示。在一些實施例中,真空元件被配置成向通道164提供真空力(例如,產生負壓)以用於拾取半導體晶粒200A。通道164可被稱為真空路徑或真空通道。舉例來說,通道164在本體162的表面S2處具有開口孔(未標記,具有寬度W2),其中表面S2面對半導體晶粒200且是凹槽160a的底表面。如圖22中所示,形成在本體162中的凹槽160a可具有面對半導體晶粒200的開口孔,且所述開口孔與表面S2相對。在一些實施例中,凹槽160a的尺寸W3大於半導體晶粒200A的尺寸(例如,第一側向尺寸L1及第二側向尺寸L2),使得凹槽160a能夠容納半導體晶粒200A的一部分。換句話說,半導體晶粒200A可在不接觸本體162的情況下局部地插入到凹槽160a中。在一些實施例中,凹槽160a的尺寸W3大於通道164的寬度W2及通道154的寬度W1。
舉例來說,在拾取製程期間(例如,在圖28中的方法1000的步驟S1040中),收集器元件160以第一配置(與半導體晶粒200A相應)進行定位且沿著堆疊方向Z向下移動,直到半導體晶粒200A的至少一部分在不接觸本體162的情況下位於凹槽160a內部。在一些實施例中,透過將半導體晶粒200A局部地放置到凹槽160a中,半導體晶粒200A具有經受吸力的較大面積,從而確保存在足夠的吸力來由收集器元件160穩定地固持半導體晶粒200A。半導體晶粒200A可在拾取製程期間不接觸本體162的情況下由收集器元件160固持。也就是說,舉例來說,當半導體晶粒200A由收集器元件160固持時,半導體晶粒200A與收集器元件160之間不存在直接接觸。收集器元件160可被稱為非接觸模式收集器。由於收集器元件160,可避免由收集器元件160的表面S2上的殘餘物(例如,不期望的顆粒)對半導體晶粒200(例如,半導體晶粒200A)(例如,前側表面FS)造成的損壞。
在一些實施例中,透過使用光學顯微鏡(例如,檢測半導體晶粒200A上的對準標記的光反射強度)確定半導體晶粒200A的位置而使收集器元件160精確地移動到正好上覆在半導體晶粒200A上的位置(例如,相對於半導體晶粒200A的第一配置)。換句話說,拾取裝置100A可包括整合在收集器元件160中的一個或多於一個光學顯微鏡或者靠近收集器元件160安裝到移動機構上的一個或多於一個光學顯微鏡。對準標記可包括形成在半導體晶粒200A上或半導體晶粒200A中的一個或多個金屬圖案。對準標記可包括形成在半導體晶粒200A上或半導體晶粒200A中的一個或多個金屬圖案。在一些實施例中,拾取裝置100A還包括移動機構,其中收集器元件160連接到移動機構(未示出)以控制收集器元件160的移動。舉例來說,移動機構被配置成使收集器元件160沿著堆疊方向Z垂直移動和/或沿著方向X和/或方向Y水準移動。移動構件可包括機械臂。
在一些實施例中,本體162的材料包括金屬材料,例如金屬或金屬合金。本體162的材料可與本體152的材料相同。本公開並不僅限於此;作為另外一種選擇,本體162的材料可與本體152的材料不同。前面已在圖1中闡述了第一框架元件110、第二框架元件120、光發射元件130、頂出器元件及半導體晶粒200/200A的細節,且因此為簡潔起見在本文中不再重複。由於拾取裝置100B的光發射元件130及頂出器元件140,第二部分320與設置在第二部分320上的半導體晶粒200(例如,半導體晶粒200A)之間的脫離強度大大降低,從而便於從第二部分320對半導體晶粒200(例如,半導體晶粒200A)的拾取並抑制對半導體晶粒200(例如,半導體晶粒200A)的損壞(例如裂紋)。
圖23到圖26是示出根據本公開一些實施例的在製造半導體元件期間使用拾取裝置的方法的示意性剖視圖。圖27是示出根據本公開一些替代實施例的製造半導體元件期間的拾取裝置的示意性剖視圖。與前面闡述的元件相似或實質上相同的元件將使用相同的參考編號,且相同元件的某些細節或說明(例如,材料、形成製程、定位配置等)在本文中將不再重複。在一些實施例中,圖23到圖26所示拾取裝置100C與圖1到圖6所示拾取裝置100A相似;不同之處在於拾取裝置100C包括第一框架元件110、第二框架元件120、光發射元件130及收集器元件150。換句話說,在拾取裝置100C中省略頂出器元件140。前面已在圖1中結合圖16到圖21闡述了第一框架元件110、第二框架元件120、光發射元件130及收集器元件150的細節,且因此為簡潔起見在本文中不再重複。
參照圖23,根據圖28中的方法1000的步驟S1010,將粘合到粘合膜300的半導體晶粒200放置到拾取裝置100C上。粘合膜300可透過裝載元件400固定到拾取裝置100C上,其中裝載元件400可設置在第一框架元件110中或第一框架元件110上。前面已在圖1中闡述了半導體晶粒200/200A、粘合膜300及裝載元件400的細節,且因此為簡潔起見在本文中不再重複。
參照圖24,在一些實施例中,根據圖28中的方法1000的步驟S1020,將紫外光L輻射到粘合膜300上。在一些實施例中,粘合膜300被紫外光L局部輻射以形成粘合膜300’,其中粘合膜300的未暴露於紫外光L的一部分形成粘合膜300’的第一部分310,且粘合膜300的暴露於紫外光L的一部分形成粘合膜300’的第二部分320。如圖24中所示,舉例來說,粘合膜300’是連續膜,且粘合膜300’的第一部分310與第二部分320連接到(例如,實體接觸)彼此。由於第二部分320被固化,第二部分320失去其粘合性質,因此第二部分320與設置在第二部分320上的半導體晶粒200(例如,半導體晶粒200A)的粘著充分降低,而第一部分310與設置在第一部分310上的半導體晶粒200(例如,半導體晶粒200A)的粘著維持不變(由於第一部分310未暴露於紫外光L)。在某些實施例中,在輻射製程期間,光發射元件130、半導體晶粒200A及收集器元件150以第一配置進行定位。也就是說,舉例來說,光發射元件130的中心、半導體晶粒200A的中心及收集器元件150的中心沿著堆疊方向Z實質上彼此對準。如圖24中所示,光發射元件130、半導體晶粒200A及收集器元件150可沿著堆疊方向Z彼此交疊。前面已在圖2中結合圖15闡述了輻射製程及粘合膜300’的細節,且因此為簡潔起見在本文中不再重複。
參照圖25及圖26,在一些實施例中,根據圖28中的方法1000的步驟S1040,透過收集器元件150從粘合膜300’移除(例如,拾取)半導體晶粒200A。舉例來說,收集器元件150沿著堆疊方向Z向下移動,直到收集器元件150的表面S1與半導體晶粒200A的前側表面FS(實體)接觸,且收集器元件150透過通道154對半導體晶粒200A施加真空力,使得半導體晶粒200A透過吸力被收集器元件150固持,如圖25中所示。當半導體晶粒200A由收集器元件150固持時,半導體晶粒200A與收集器元件150之間存在直接接觸。收集器元件150可被稱為接觸模式收集器。此後,收集器元件150沿著堆疊方向Z向上移動,從而使半導體晶粒200A的後側表面BS從粘合膜300’的第二部分320的頂表面300t完全脫離,如圖26中所示。由於光發射元件130,第二部分320與設置在第二部分320上的半導體晶粒200(例如,半導體晶粒200A)之間的脫離強度大大降低,且用於固持半導體晶粒200(例如,半導體晶粒200A)的收集器元件150的表面S1與半導體晶粒200(例如,半導體晶粒200A)之間的接觸力可降低,從而可抑制和/或避免由收集器元件150的表面S1上的殘餘物(例如,不期望的顆粒)對半導體晶粒200(例如,半導體晶粒200A)(例如,前側表面FS)造成的損壞。另外,由於拾取裝置100C的光發射元件130,第二部分320與設置在第二部分320上的半導體晶粒200(例如,半導體晶粒200A)之間的脫離強度大大降低,從而便於從第二部分320對半導體晶粒200(例如,半導體晶粒200A)的拾取並抑制對半導體晶粒200(例如,半導體晶粒200A)的損壞(例如裂紋)。
相似地,拾取裝置100C的收集器元件150可由收集器元件160代替,參見圖27中所示的拾取裝置100D。前面已在圖22中闡述了收集器元件160的細節,且因此為簡潔起見在本文中不再重複。由於收集器元件160,可避免由收集器元件160的表面S2上的殘餘物(例如,不期望的顆粒)對半導體晶粒200(例如,半導體晶粒200A)(例如,前側表面FS)造成的損壞。由於拾取裝置100D的光發射元件130,第二部分320與設置在第二部分320上的半導體晶粒200(例如,半導體晶粒200A)之間的脫離強度大大降低,從而便於從第二部分320對半導體晶粒200(例如,半導體晶粒200A)的拾取並抑制對半導體晶粒200(例如,半導體晶粒200A)的損壞(例如裂紋)。
根據一些實施例,一種用於將粘合在粘合膜上的半導體晶粒從所述粘合膜分離的拾取裝置包括:框架,被配置成固持粘合有所述半導體晶粒的所述粘合膜;紫外光發射元件,設置在所述框架內部,所述粘合膜設置在所述半導體晶粒與所述紫外光發射元件之間;以及收集器元件,設置在所述框架之上。
根據一些實施例,一種用於將半導體晶粒從粘著層剝離的拾取裝置包括:第一框架元件,被配置成固持粘合有所述半導體晶粒的所述粘著層;第二框架元件,設置在所述第一框架元件內部;光發射元件,設置在所述第二框架元件內部,所述粘著層設置在所述半導體晶粒與所述光發射元件之間;頂出器元件,設置在所述第二框架元件內部且靠近所述光發射元件,所述粘著層設置在所述半導體晶粒與所述頂出器元件之間;以及收集器元件,設置在所述第一框架元件及所述第二框架元件之上。
根據一些實施例,一種用於將粘合在粘合膜上的半導體晶粒從所述粘合膜分離的方法包括:提供拾取裝置,所述拾取裝置包括框架、設置在所述框架內部的紫外光發射元件以及設置在所述框架之上的收集器元件;透過所述框架將粘合在所述粘合膜上的所述半導體晶粒放置到所述拾取裝置上;透過所述紫外光發射元件將紫外光輻射到位於所述半導體晶粒之下的所述粘合膜的一部分上;以及透過所述收集器元件從所述粘合膜的所述一部分移除所述半導體晶粒。
前文概述若干實施例的特徵,使得所屬領域中具通常知識者可更佳地理解本揭露的態樣。所屬領域中具通常知識者應瞭解,其可容易地使用本揭露作為設計或修改用於進行本文中所引入的實施例的相同目的及/或實現相同優點的其他製程及結構的基礎。所屬領域中具通常知識者亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且所屬領域中具通常知識者可在不脫離本揭露的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
100A、100B、100C、100D:拾取裝置 110:第一框架元件 110t、120t、144t、200t、240t、250t、260t、300t:頂表面 120:第二框架元件 130:光發射元件 130a:光出射端口 132:光源單元 134:透鏡單元 136、144:殼體 140:頂出器元件 141:馬達 142:銷卡盤 143:銷 143e:端部 150、160:收集器元件 152、162、652:本體 154、164、654:通道 160a:凹槽 200、200A、8200a、8200b:半導體晶粒 210:半導體基底 210a:有效表面 210b、300b:底表面 220:內連線結構 222、2320、2420:介電層 224、1240:金屬化層 230、1540b:連接墊 240:連接通孔 250:保護層 260、1300:導電柱 260b、1300t、1400t、S1、S2、S3、S6、S7:表面 270:襯墊 300、300’:粘合膜 310:第一部分 320:第二部分 400:裝載元件 650:接合器元件 1000:方法 1120:載體 1140:剝離層 1200、1500、2300、2400:重佈線電路結構 1220、1520:聚合物介電層 1400、8600:絕緣包封體 1540、2340、2440、5300:金屬化層 1540a:球下金屬(UBM)圖案 1600、4000、6000:導電端子 2000:電路元件 2100:核心部分 2200:通孔 2520a、2520b:焊料罩幕層 2540a、2540b:接合墊 3000、9000:底部填充膠 5000、8100:基底 5100、5200:接觸墊 7000:導電端子/接頭 8000:封裝 8300a、8300b:接合線 8400、8500:導電墊 AA、BB、CC:線 BS:後側表面 D1:距離 DA1、DA2:連接膜 FS:前側表面 G:間隙 L:紫外光 L1、L3、L5、L7:第一側向尺寸 L2、L4、L6、L8:第二側向尺寸 PL:光路徑 R1:中心區 R2:週邊區 S1010、S1020、S1030、S1040:步驟 SP1、SP1’、SP2、SP3、SP4:半導體封裝 W1、W2:寬度 W3:尺寸 X、Y:方向 Z:堆疊方向
當結合隨附圖式閱讀時,將自以下實施方式最佳地理解本揭露的態樣。應指出,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,出於論述的清楚起見,可任意增加或減小各種特徵的尺寸。 圖1到圖11是示出根據本公開一些實施例的在製造半導體元件期間使用拾取裝置的方法的示意性剖視圖。 圖12到圖14分別是根據本公開一些替代實施例的半導體元件的示意性剖視圖。 圖15是示出根據本公開一些實施例的半導體晶粒及粘合層的定位配置的示意性俯視圖。 圖16及圖17是示出根據本公開一些實施例的拾取裝置的光發射器的示意性剖視圖及俯視圖。 圖18及圖19是示出根據本公開一些實施例的拾取裝置的頂出器總成的示意性剖視圖及俯視圖。 圖20到圖21是示出根據本公開一些替代實施例的拾取裝置的頂出器總成的示意性俯視圖。 圖22是示出根據本公開一些替代實施例的製造半導體元件期間的拾取裝置的示意性剖視圖。 圖23到圖26是示出根據本公開一些實施例的在製造半導體元件期間使用拾取裝置的方法的示意性剖視圖。 圖27是示出根據本公開一些替代實施例的製造半導體元件期間的拾取裝置的示意性剖視圖。 圖28示出根據本公開一些實施例的在製造半導體元件期間使用拾取裝置的方法的流程圖。
100C:拾取裝置
110:第一框架元件
110t、120t、200t、240t、250t、260t、300t:頂表面
120:第二框架元件
130:光發射元件
130a:光出射端口
150:收集器元件
152:本體
154:通道
200、200A:半導體晶粒
210:半導體基底
210a:有效表面
210b、300b:底表面
220:內連線結構
222:介電層
224:金屬化層
230:連接墊
240:連接通孔
250:保護層
260:導電柱
270:襯墊
300’:粘合膜
310:第一部分
320:第二部分
400:裝載元件
BS:後側表面
FS:前側表面
L:紫外光
L1、L3:第一側向尺寸
S1:表面
W1:寬度
X、Y:方向
Z:堆疊方向

Claims (20)

  1. 一種用於將粘合在粘合膜上的半導體晶粒從所述粘合膜分離的方法,包括: 提供拾取裝置,所述拾取裝置包括框架、設置在所述框架內部的紫外光發射元件以及設置在所述框架之上的收集器元件; 透過所述框架將粘合在所述粘合膜上的所述半導體晶粒放置到所述拾取裝置上; 透過所述紫外光發射元件將紫外光輻射到位於所述半導體晶粒之下的所述粘合膜的一部分上;以及 透過所述收集器元件從所述粘合膜的所述一部分移除所述半導體晶粒。
  2. 如請求項1所述的方法,其中在將所述紫外光輻射到所述粘合膜的所述一部分上之前,所述方法還包括將所述紫外光發射元件的中心與所述半導體晶粒的中心沿著所述紫外光發射元件與所述半導體晶粒的堆疊方向對準。
  3. 如請求項1所述的方法,其中將所述紫外光輻射到所述粘合膜的所述一部分上包括由紫外光發射二極體以約100 J/cm2到約1000 J/cm2的強度輻射波長為約10 nm到約400 nm的紫外光。
  4. 如請求項1所述的方法,其中所述拾取裝置還包括頂出器元件,其中在從所述粘合膜的所述一部分移除所述半導體晶粒之前且在將所述紫外光輻射到所述粘合膜的所述一部分上之後,所述方法還包括: 將所述頂出器元件的中心與所述半導體晶粒的中心沿著所述頂出器元件與所述半導體晶粒的堆疊方向對準;以及 由所述頂出器元件向上提升所述半導體晶粒。
  5. 如請求項4所述的方法,其中所述頂出器元件包括多個提升銷, 其中由所述頂出器元件向上提升所述半導體晶粒包括使所述多個提升銷向上移動以向上推動所述半導體晶粒。
  6. 如請求項1所述的方法,其中透過所述收集器元件從所述粘合膜移除所述半導體晶粒包括透過所述收集器元件透過非接觸模式從所述粘合膜的所述一部分移除所述半導體晶粒。
  7. 如請求項1所述的方法,其中透過所述收集器元件從所述粘合膜移除所述半導體晶粒包括透過所述收集器元件透過接觸模式從所述粘合膜的所述一部分移除所述半導體晶粒。
  8. 一種用於將粘合在粘合膜上的半導體晶粒從所述粘合膜分離的拾取裝置,包括: 框架,被配置成固持粘合有所述半導體晶粒的所述粘合膜; 紫外光發射元件,設置在所述框架內部,所述粘合膜設置在所述半導體晶粒與所述紫外光發射元件之間;以及 收集器元件,設置在所述框架之上以拾取所述半導體晶粒。
  9. 如請求項8所述的拾取裝置,其中所述紫外光發射元件包括紫外光發射二極體燈,所述紫外光發射二極體燈以約100 J/cm2到約1000 J/cm2的強度發射波長為約10 nm到約400 nm的紫外光。
  10. 如請求項8所述的拾取裝置,其中所述紫外光發射元件與所述粘合膜間隔開約1 mm到約10 mm的距離。
  11. 如請求項8所述的拾取裝置,其中所述收集器元件包括非接觸模式收集器,所述非接觸模式收集器包括本體、通道及真空元件,所述本體具有面對所述半導體晶粒的側,所述通道嵌入所述本體中且在所述側處具有第一開口,所述真空元件連接到所述通道,且所述本體包括形成在所述側處的凹槽。
  12. 如請求項8所述的拾取裝置,其中所述收集器元件包括接觸模式收集器,所述接觸模式收集器包括本體、通道及真空元件,所述本體具有面對所述半導體晶粒的側,所述通道嵌入所述本體中且在所述側處具有第一開口,所述真空元件連接到所述通道。
  13. 如請求項8所述的拾取裝置,其中所述框架的材料包括金屬或金屬合金。
  14. 一種用於將半導體晶粒從粘著層剝離的拾取裝置,包括: 第一框架元件,被配置成固持粘合有所述半導體晶粒的所述粘著層; 第二框架元件,設置在所述第一框架元件內部; 光發射元件,設置在所述第二框架元件內部,所述粘著層設置在所述半導體晶粒與所述光發射元件之間; 頂出器元件,設置在所述第二框架元件內部以及所述光發射元件旁邊,所述粘著層設置在所述半導體晶粒與所述頂出器元件之間;以及 收集器元件,設置在所述第一框架元件及所述第二框架元件之上以拾取所述半導體晶粒。
  15. 如請求項14所述的拾取裝置,其中所述第一框架元件的內側壁遠離所述第二框架元件的外側壁,且所述第一框架元件的材料及所述第二框架元件的材料包括金屬或金屬合金。
  16. 如請求項14所述的拾取裝置,其中所述光發射元件包括: 第一殼體,具有光出射端口; 紫外光源,設置在所述第一殼體中且被配置成發射紫外光;以及 透鏡單元,設置在所述第一殼體中且包括亮度增強膜、光擴散膜或其組合,其中所述透鏡單元設置在由所述紫外光源發射的所述紫外光的光路徑且位於所述紫外光源與所述第一殼體的所述光出射端口之間。
  17. 如請求項14所述的拾取裝置,其中所述頂出器元件包括: 第二殼體,具有面對所述粘著層的第一表面,所述第一表面中形成有多個開口; 多個銷,設置在所述第二殼體內部且各自包括透過所述多個開口中的相應一者從所述第一表面突出的一部分;以及 馬達,連接到所述多個銷且被配置成提升或降低所述多個銷。
  18. 如請求項17所述的拾取裝置,其中所述多個銷排列成對準方式的矩陣、交錯方式的矩陣或具有同心方式的預定圖案。
  19. 如請求項14所述的拾取裝置,其中所述收集器元件包括非接觸模式收集器。
  20. 如請求項14所述的拾取裝置,其中所述收集器元件包括接觸模式收集器。
TW111113662A 2021-06-18 2022-04-11 拾取裝置及其使用方法 TW202301516A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163212118P 2021-06-18 2021-06-18
US63/212,118 2021-06-18
US17/577,337 2022-01-17
US17/577,337 US20220406627A1 (en) 2021-06-18 2022-01-17 Pickup apparatus and method of using the same

Publications (1)

Publication Number Publication Date
TW202301516A true TW202301516A (zh) 2023-01-01

Family

ID=83483663

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111113662A TW202301516A (zh) 2021-06-18 2022-04-11 拾取裝置及其使用方法

Country Status (3)

Country Link
US (1) US20220406627A1 (zh)
CN (1) CN115172233A (zh)
TW (1) TW202301516A (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3504543B2 (ja) * 1999-03-03 2004-03-08 株式会社日立製作所 半導体素子の分離方法およびその装置並びに半導体素子の搭載方法
JP2003007652A (ja) * 2001-06-26 2003-01-10 Mitsubishi Electric Corp 半導体チップの製造方法
KR100480628B1 (ko) * 2002-11-11 2005-03-31 삼성전자주식회사 에어 블로잉을 이용한 칩 픽업 방법 및 장치
JP2010129700A (ja) * 2008-11-26 2010-06-10 Nitto Denko Corp ダイシング・ダイボンドフィルム及び半導体装置の製造方法

Also Published As

Publication number Publication date
US20220406627A1 (en) 2022-12-22
CN115172233A (zh) 2022-10-11

Similar Documents

Publication Publication Date Title
US11373969B2 (en) Semiconductor package and method of forming the same
US11443995B2 (en) Integrated circuit package and method
TWI697056B (zh) 半導體裝置封裝及方法
US20230245976A1 (en) Semiconductor structure and method manufacturing the same
CN111799227B (zh) 半导体器件及其形成方法
TW202013667A (zh) 半導體結構、封裝結構及其製造方法
US11854921B2 (en) Integrated circuit package and method
TW201826466A (zh) 半導體封裝體、半導體元件及其形成方法
US20200402942A1 (en) Semiconductor structure and method manufacturing the same
TWI803899B (zh) 半導體結構、封裝結構、及封裝方法
US20230154881A1 (en) Package structure including ipd and method of forming the same
TW202010084A (zh) 半導體封裝及其製造方法
TW202234537A (zh) 半導體封裝及其製備方法
US20220406627A1 (en) Pickup apparatus and method of using the same
TW202230679A (zh) 半導體封裝及其形成方法
US20230065941A1 (en) Semiconductor package and method of manufacturing the same
TWI838073B (zh) 積體電路封裝及其形成方法
US11830796B2 (en) Circuit substrate, package structure and method of manufacturing the same
US11830859B2 (en) Package structures and method for forming the same
US20240079392A1 (en) Semiconductor structure and manufacturing method thereof
US20220367419A1 (en) Semiconductor package and method of manufacturing the same
US20220359449A1 (en) Semiconductor structure and method manufacturing the same
US20230317585A1 (en) Package structure and manufacturing method thereof
TW202407915A (zh) 半導體封裝及其製造方法
TW202230646A (zh) 半導體封裝