TW202234537A - 半導體封裝及其製備方法 - Google Patents

半導體封裝及其製備方法 Download PDF

Info

Publication number
TW202234537A
TW202234537A TW110117461A TW110117461A TW202234537A TW 202234537 A TW202234537 A TW 202234537A TW 110117461 A TW110117461 A TW 110117461A TW 110117461 A TW110117461 A TW 110117461A TW 202234537 A TW202234537 A TW 202234537A
Authority
TW
Taiwan
Prior art keywords
interconnect
structures
interconnect structures
core substrate
package
Prior art date
Application number
TW110117461A
Other languages
English (en)
Other versions
TWI820422B (zh
Inventor
余振華
陳瑋佑
吳俊毅
劉重希
李建勳
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202234537A publication Critical patent/TW202234537A/zh
Application granted granted Critical
Publication of TWI820422B publication Critical patent/TWI820422B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5382Adaptable interconnections, e.g. for engineering changes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06137Square or rectangular array with specially adapted redistribution layers [RDL]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0614Circular array, i.e. array with radial symmetry
    • H01L2224/06147Circular array, i.e. array with radial symmetry with specially adapted redistribution layers [RDL]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Led Device Packages (AREA)
  • Combinations Of Printed Boards (AREA)

Abstract

一種方法包括:將內連線結構貼合至載體基底,其中每個內連線結構包括:重佈線結構;第一包封體,位於所述重佈線結構上;以及通孔,延伸貫穿所述包封體以物理及電性連接至所述重佈線結構;在所述內連線結構上沉積第二包封體,其中相鄰內連線結構由所述第二包封體在側向上分隔開;在沉積所述第二包封體之後,將第一核心基底貼合至至少一個內連線結構的所述重佈線結構,其中所述核心基底電性連接至所述重佈線結構;以及將半導體元件貼合至所述內連線結構,其中所述半導體元件電性連接至所述內連線結構的所述通孔。

Description

半導體封裝及方法
由於各種電子部件(例如,電晶體、二極體、電阻器、電容器等)的積體密度的不斷提高,半導體行業已經歷快速發展。在很大程度上,積體密度的提高源於最小特徵大小(minimum feature size)的迭代減小,此使得能夠將更多的部件整合至給定的面積中。隨著對日益縮小的電子元件需求的增長,出現了對更小且更具創造性的半導體晶粒封裝技術的需求。此種封裝系統的一個實例是疊層封裝(Package-on-Package,PoP)技術。在PoP元件中,頂部半導體封裝堆疊於底部半導體封裝頂上,以提供高積體水準及部件密度。PoP技術一般能夠使得在印刷電路板(printed circuit board,PCB)上生產具有增強的功能性及小的佔用面積(footprint)的半導體元件。
以下揭露內容提供用於實施本發明實施例的不同特徵的諸多不同實施例或實例。以下闡述部件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵上方或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於……之下(beneath)」、「位於……下方(below)」、「下部的(lower)」、「位於……上方(above)」、「上部的(upper)」及類似用語等空間相對性用語來闡述圖中所示的一個組件或特徵與另一(其他)組件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括元件在使用或操作中的不同定向。裝置可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
在本文中論述的實施例可在特定的上下文(即具有一或多個內連線結構的封裝)中論述。在一些實施例中,封裝是系統積體基底(system-on-integrated-substrate,SoIS)封裝或類似封裝。封裝包括貼合至一或多個核心基底的二或更多個內連部件。內連部件可包括電性佈線、穿孔、積體元件(例如,IPD)或局部佈線結構或類似物。半導體元件可貼合至二或更多個內連部件。在一些情形中,藉由在如本文中所述的封裝中使用多個內連部件,可提高良率,並且可增加每晶圓的單元數量。舉例而言,內連線結構可具有相對較小的大小,此允許在單個晶圓上形成更多的內連線結構。此外,形成多個內連件允許在封裝製造製程內在使用之前測試已知良好的內連線結構。如此一來,可降低形成封裝的成本。可在同一封裝內使用不同類型的內連線結構,此可允許設計靈活性及效能改善。
圖1示出根據一些實施例,內連部件50的剖視圖。內連部件50可包含在例如以下針對圖9所述的內連線結構100等內連線結構內。在一些實施例中,內連部件50用於在例如圖16所示的封裝200或本文中所述的其他封裝等封裝內形成局部內連。在一些情形中,內連部件50可被視為積體元件或局部佈線結構。
內連部件50可形成於晶圓中,所述晶圓可包括不同的元件區域,該等元件區域在後續步驟中被單體化以形成多個內連部件50。內連部件50可根據適用的製造製程(例如用於形成晶粒或半導體元件的製程)進行處理。舉例而言,內連部件50可包括基底52(例如經摻雜或未經摻雜的矽)或者絕緣體上半導體(semiconductor-on-insulator,SOI)基底的主動層。基底52可包含:其他半導體材料,例如鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;類似物;或其組合。在一些實施例中,基底52可包含陶瓷材料、聚合物膜、磁性材料、類似物、或其組合。亦可使用例如多層式基底(multi-layered substrate)或梯度基底(gradient substrate)等其他基底。在一些實施例中,基底52具有有時稱為前側的主動表面(例如,圖1中面朝上的表面)及有時稱為背側的非主動表面(例如,圖1中面朝下的表面)。
在一些實施例中,內連部件50可包括主動或被動元件。在一些實施例中,內連部件50可無主動或被動元件,並且可僅用於路由電性訊號。在包括主動或被動元件的實施例中,元件54(由圖1中的電晶體表示)可形成於基底52的前表面處。元件54可包括主動元件(例如,電晶體、二極體或類似物)、電容器、電阻器、電感器、類似物或其組合。層間介電質(inter-layer dielectric,ILD)56位於基底52的前表面上方。ILD 56圍繞且可覆蓋元件54。ILD 56可包括由例如磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、摻雜硼的磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、未經摻雜的矽酸鹽玻璃(undoped Silicate Glass,USG)或類似物等材料形成的一或多個介電層。
導電插塞58延伸貫穿ILD 56,以電性及物理耦合元件54。舉例而言,當元件54是電晶體時,導電插塞58可耦合電晶體的閘極及源極/汲極區。導電插塞58可由鎢、鈷、鎳、銅、銀、金、鋁、類似物或其組合形成。內連線結構60位於ILD 56及導電插塞58上方。內連線結構60內連元件54及/或在晶粒連接件66之間提供電性路由及連接。內連線結構60可包括例如在ILD 56上的介電層中的金屬化圖案。金屬化圖案可包括形成於一或多個低介電常數介電層中的導線及導通孔。金屬化圖案可使用例如鑲嵌製程等合適的製程形成。在包括元件54的實施例中,內連線結構60的金屬化圖案藉由導電插塞58電性耦合至元件54。儘管內連線結構60被示出為僅具有兩層導通孔及兩層導線,但在其他實施例中,可包括更多或更少層的導通孔或導線。
內連部件50更包括進行外部連接的接墊62,例如鋁接墊。接墊62位於內連部件50的主動側上,例如位於內連線結構60中及/或位於內連線結構60上。一或多個鈍化膜64位於內連部件50上,例如位於內連線結構60及接墊62的一些部分上。開口貫穿鈍化膜64延伸至接墊62。例如導電柱(例如,由例如銅等金屬形成)等晶粒連接件66延伸貫穿鈍化膜64中的開口,並且物理及電性耦合至接墊62中的相應多者。晶粒連接件66可藉由例如鍍覆或類似製程形成。晶粒連接件66電性耦合內連部件50的相應積體電路。
視情況,焊料區(例如,焊球或焊料凸塊)可設置在接墊62上。焊球可用於對內連部件50執行晶片探針(chip probe,CP)測試。可對內連部件50執行CP測試,以確定內連部件50是否為已知良好的晶粒(known good die,KGD)。因此,僅作為經過後續處理的KGD的內連部件50被封裝,而未通過CP測試的內連部件50未被封裝。在測試之後,可在後續的處理步驟中移除焊料區。
在其他實施例中,介電層形成於內連部件50的主動側上,例如鈍化膜64及晶粒連接件66上。圖1所示的實施例不包括此介電層。介電層可在側向上包封晶粒連接件66。介電層可為:聚合物,例如聚苯並噁唑(polybenzoxazole,PBO)、聚醯亞胺、苯並環丁烯(benzocyclobutene,BCB)或類似物;氮化物,例如氮化矽或類似物;氧化物,例如氧化矽、PSG、BSG、BPSG或類似物;類似物;或其組合。介電層可例如藉由旋轉塗佈(spin coating)、疊層、化學氣相沉積(chemical vapor deposition,CVD)或類似製程形成。在一些實施例中,在內連部件50的形成期間,晶粒連接件66藉由介電層暴露出。在一些實施例中,晶粒連接件66在封裝內連部件50的後續製程期間保持被掩埋及被暴露出。暴露出晶粒連接件66可移除晶粒連接件66上可能存在的任何焊料區。
圖2至圖9示出根據一些實施例,在用於形成內連線結構100(參見圖9)的製程期間的中間步驟的剖視圖。內連線結構100包括一或多個內連部件50(參見圖1)及/或一或多個積體被動元件(integrated passive device,IPD)80(參見圖5)。在圖2至圖8中,示出第一區101A及第二區101B,並且可在區101A及101B中的每一者中形成內連線結構100。可執行單體化製程來單體化個別內連線結構100,例如圖9中所示者。
在圖2中,提供第一載體基底102,且在第一載體基底102上形成釋放層104。第一載體基底102可為玻璃載體基底、陶瓷載體基底或類似物。第一載體基底102可為晶圓,進而使得可在第一載體基底102上同時形成多個封裝。釋放層104可由聚合物系材料形成,所述聚合物系材料可與第一載體基底102一起被自將在隨後的步驟中形成的上覆結構移除。在一些實施例中,釋放層104為當受熱時會失去其黏合性質的環氧系熱釋放材料,例如光熱轉換(light-to-heat-conversion,LTHC)釋放塗層。在其他實施例中,釋放層104可為當暴露於紫外(ultra-violet,UV)光時會失去其黏合性質的UV膠。釋放層104可以液體形式被分配並被固化,可為疊層至第一載體基底102上的疊層膜(laminate film),或者可為類似物。釋放層104的頂表面可被整平且可具有高的平坦程度。
在圖3中,在釋放層104上形成導線110。隨後可藉由載體剝離製程暴露出導線110(參見圖8)。作為形成導線110的實例,在釋放層104上方形成晶種層(圖中未示出)。在一些實施例中,晶種層為金屬層,所述金屬層可為單層或包括由不同材料形成的多個子層的複合層。晶種層可為例如鈦層及位於鈦層上方的銅層。晶種層可使用例如物理氣相沉積(physical vapor deposition,PVD)或類似製程形成。然後在晶種層上形成光阻並將所述光阻圖案化。可藉由旋轉塗佈或類似製程形成光阻,且可將所述光阻暴露於光以進行圖案化。所述圖案化形成貫穿光阻的開口以暴露出晶種層,其中所述光阻中的所述開口對應於導線110。然後在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。可藉由例如電鍍或無電鍍覆等鍍覆或者類似製程形成導電材料。導電材料可包括像銅、鈦、鎢、鋁或類似物等金屬。導電材料與晶種層的位於下方的部分的組合形成導線110。移除光阻以及晶種層的上面未形成導電材料的部分。可藉由例如使用氧電漿或類似物的可接受的灰化製程(ashing process)或剝除製程(stripping process)移除光阻。一旦光阻被移除,便例如藉由使用可接受的蝕刻製程(例如藉由濕法蝕刻或乾法蝕刻)移除晶種層的被暴露出的部分。
在圖4中,根據一些實施例,在導線110上形成穿孔116。作為形成穿孔116的實例,在導線110及釋放層104上方形成晶種層(圖中未示出)。在一些實施例中,晶種層為金屬層,其可為單層或包括由不同材料形成的多個子層的複合層。在特定實施例中,晶種層包括鈦層及位於所述鈦層上方的銅層。可使用例如PVD或類似製程形成晶種層。在晶種層上形成光阻且對所述光阻進行圖案化。可藉由旋轉塗佈或類似製程形成光阻,且可將所述光阻暴露於光以用於圖案化。光阻的圖案對應於穿孔116。所述圖案化會形成穿過光阻的開口以暴露出晶種層。在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。可藉由例如電鍍或無電鍍覆等鍍覆或者類似製程形成導電材料。導電材料可包括像銅、鈦、鎢、鋁或類似物等金屬。移除光阻以及晶種層的上面未形成導電材料的部分。可藉由例如使用氧電漿或類似物的可接受的灰化製程或剝除製程移除光阻。一旦光阻被移除,便例如藉由使用可接受的蝕刻製程(例如藉由濕法蝕刻或乾法蝕刻)移除晶種層的被暴露出的部分。晶種層的剩餘部分與導電材料形成穿孔116。在其他實施例中,未使用晶種層。
在圖5中,根據一些實施例,將內連部件50及/或積體被動元件80(IPD)貼合至導線110。圖5示出貼合在每個區101A至101B內的一個內連部件50及一個IPD 80,但在其他實施例中,更多或更少的內連部件50或IPD 80可貼合在每個區101A至101B內。內連部件50可類似於針對圖1所述的內連部件50。IPD 80可為例如包括一或多個被動元件(例如,電容器、電阻器、電感器或類似物)的元件。在一些實施例中,例如積體電壓調節器(integrated voltage regulator,IVR)或類似物等其他類型的部件可被包含在內連線結構100內。如此一來,內連線結構100可形成為包括可提供期望的功能及效能益處的各種部件,例如內連部件50及/或IPD 80。在一些情形中,相較於將內連部件50及/或IPD 80貼合至封裝的其他特徵,將內連部件50或IPD 80包含在內連線結構100內可減少內連部件50或IPD 80的接合故障的可能性。
在一些實施例中,可使用導電連接件114來貼合內連部件50或IPD 80。導電連接件114可為例如球柵陣列(ball grid array,BGA)連接件、焊球、金屬柱、受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold technique,ENEPIG)形成的凸塊或類似物。導電連接件114可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物、或其組合。在一些實施例中,藉由最初利用蒸鍍、電鍍、印刷、焊料轉移、植球或類似製程形成焊料層來形成導電連接件114。一旦已在所述結構上形成焊料層,便可執行回焊,以便將所述材料成形為所期望的凸塊形狀。導電連接件114可形成於內連部件50的晶粒連接件66上、IPD 80的晶粒連接件上及/或導線110上。
在實施例中,使用例如拾取及放置製程將內連部件50及IPD 80放置成與導線110物理接觸。內連部件50及IPD 80可被放置成使得導線110的區與內連部件50及IPD 80的對應導電連接件114對準。在一些實施例中,一旦導電連接件114與導線110物理接觸,便可執行回焊製程以將導電連接件114結合至導線110,且因此將內連部件50及IPD 80貼合至導線110。在其他實施例中,可使用例如直接結合、混合結合或類似結合等其他結合技術將內連部件50及IPD 80貼合至導線110。
在圖6中,在各種部件上且在各種部件周圍形成包封體118。包封體118包封穿孔116、內連部件50及IPD 80。包封體118可為模塑化合物、環氧樹脂或類似物。包封體118可藉由壓縮模塑、轉移模塑或類似製程來施加,並且可形成於第一載體基底102上方,使得穿孔116、內連部件50及/或IPD 80被掩埋或覆蓋。包封體118可以液體或半液體形式施加,且接著隨後固化。
在一些實施例中,在用包封體118包封之前,形成底部填料117。底部填料117可圍繞內連部件50或IPD 80的導電連接件114。底部填料117可減小應力並保護由導電連接件114的回焊產生的接頭。底部填料117可在貼合內連部件50或IPD 80之後藉由毛細流動製程形成,並且可藉由合適的沉積方法形成。在一些實施例中,單層底部填料117形成於多個相鄰元件下方。
在一些實施例中,對包封體118執行平坦化製程以暴露出穿孔116。在一些實施例中,一或多個內連部件50或IPD 80的表面亦藉由平坦化製程被暴露出。平坦化製程亦可移除穿孔116的材料。在平坦化製程之後,穿孔116的頂表面與包封體118的頂表面在製程變化範圍內實質上共面。平坦化製程可為例如化學機械拋光(chemical-mechanical polish,CMP)、研磨製程或類似製程。在一些實施例中,舉例而言,若穿孔116已經被暴露出,則可省略平坦化。
在圖7中,根據一些實施例,在包封體118及穿孔116上方形成重佈線結構120。重佈線結構120包括介電層124、128及132;以及金屬化圖案122、126、130及134。金屬化圖案亦可稱為重佈線層或重佈線線。重佈線結構120被示為具有四層金屬化圖案的實例。可在重佈線結構120中形成更多或更少的介電層及金屬化圖案。若欲形成更少的介電層及金屬化圖案,則可省略下文論述的步驟及製程。若欲形成更多的介電層及金屬化圖案,則可重複進行下文論述的步驟及製程。
作為形成重佈線結構120的實例,在包封體118及穿孔116上方形成金屬化圖案122。金屬化圖案122包括沿著包封體118的主表面延伸並位於穿孔116上的導電組件,以物理及電性耦合至穿孔116。作為形成金屬化圖案122的實例,在包封體118及穿孔116上方形成晶種層。在一些實施例中,晶種層為金屬層,其可為單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層及位於所述鈦層上方的銅層。可使用例如PVD或類似製程形成晶種層。然後在晶種層上形成光阻且對所述光阻進行圖案化。可藉由旋轉塗佈或類似製程形成光阻,且可將所述光阻暴露於光以用於圖案化。光阻的圖案對應於金屬化圖案122。所述圖案化會形成穿過光阻的開口以暴露出晶種層。然後在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。可藉由例如電鍍或無電鍍覆等鍍覆或者類似製程形成導電材料。導電材料可包括像銅、鈦、鎢、鋁或類似物等金屬。導電材料與晶種層的位於下方的部分的組合形成金屬化圖案122。移除光阻以及晶種層的上面未形成導電材料的部分。可藉由例如使用氧電漿或類似物的可接受的灰化製程或剝除製程移除光阻。一旦光阻被移除,便例如藉由使用可接受的蝕刻製程(例如藉由濕法蝕刻或乾法蝕刻)移除晶種層的被暴露出的部分。在其他實施例中,在形成介電層124之前,不在包封體118及穿孔116上形成金屬化圖案122。
在包封體118及金屬化圖案122上沉積介電層124。在一些實施例中,介電層124由可使用微影遮罩來圖案化的光敏材料(例如,PBO、聚醯亞胺、BCB或類似物)形成。介電層124可藉由旋轉塗佈、疊層、CVD、類似製程或其組合來形成。然後,將介電層124圖案化。圖案化形成暴露出金屬化圖案122的一些部分的開口。圖案化可藉由可接受的製程進行,例如當介電層124是光敏材料時,藉由將介電層124曝光並顯影、或者藉由使用例如各向異性蝕刻進行蝕刻來進行。
然後形成金屬化圖案126。金屬化圖案126包括在介電層124的主表面上並沿介電層124的主表面延伸的一些部分。金屬化圖案126更包括延伸貫穿介電層124的一些部分,以物理及電性耦合金屬化圖案122。金屬化圖案126可以與金屬化圖案122類似的方式及類似的材料形成。在一些實施例中,金屬化圖案126具有與金屬化圖案122不同的大小。舉例而言,金屬化圖案126的導線可比金屬化圖案122的導線更寬或更厚。此外,金屬化圖案126可被形成為較金屬化圖案122大的節距。
然後在金屬化圖案126及介電層124上沉積介電層128。可以與介電層124類似的方式形成介電層128,且介電層128可由與介電層124類似的材料形成。
然後形成金屬化圖案130。金屬化圖案130包括在介電層128的主表面上並沿介電層128的主表面延伸的一些部分。金屬化圖案130更包括延伸貫穿介電層128的一些部分,以物理及電性耦合金屬化圖案126。金屬化圖案130可以與金屬化圖案122類似的方式及類似的材料形成。在一些實施例中,金屬化圖案130具有與金屬化圖案126不同的大小。舉例而言,金屬化圖案130的導線及/或通孔可寬於或厚於金屬化圖案126的導線及/或通孔。此外,可將金屬化圖案130形成為較金屬化圖案126大的節距。
然後在金屬化圖案130及介電層128上沉積介電層132。可以與介電層124類似的方式形成介電層132,且介電層132可由與介電層124類似的材料形成。介電層132是重佈線結構120的最頂部介電層。舉例而言,重佈線結構120的所有中間介電層(例如,介電層124及128)設置在介電層132與包封體118之間。
然後形成金屬化圖案134。金屬化圖案134包括在介電層132的主表面上並沿介電層132的主表面延伸的一些部分。金屬化圖案134更包括延伸貫穿介電層132的一些部分,以物理及電性耦合金屬化圖案130。可以與金屬化圖案122類似的方式及類似的材料形成金屬化圖案134。金屬化圖案134是重佈線結構120的最頂部金屬化圖案。因此,重佈線結構120的所有中間金屬化圖案(例如,金屬化圖案126及130)設置於金屬化圖案134與包封體118之間。在一些實施例中,金屬化圖案134具有與金屬化圖案122、126及130不同的大小。舉例而言,金屬化圖案134的導線及/或通孔可寬於或厚於金屬化圖案122、126及130的導線及/或通孔。此外,可將金屬化圖案134形成為較金屬化圖案130大的節距。
在圖8中,根據一些實施例,對結構進行剝離並且形成導通孔136。執行剝離以使第一載體基底102自所述結構脫離(或「剝離」)。根據一些實施例,剝離包括將例如雷射光或紫外(UV)光等光投射至釋放層104上,使得釋放層104在光的熱量下分解,並且第一載體基底102可被移除。然後將所述結構翻轉並貼合至第二載體基底142。第二載體基底142可類似於第一載體基底102,或者可為例如膠帶。可在第二載體基底142上形成釋放層144,以便將所述結構貼合至第二載體基底142。釋放層144可類似於釋放層104,或者可為例如黏著劑層。
根據一些實施例,在貼合至第二載體基底142之後,可在導線110上形成導通孔136。導通孔136電性連接至穿孔116、內連部件50及IPD 80。在一些實施例中,導通孔136可包括凸塊下金屬(under-bump metallurgy,UBM)。作為形成導通孔136的實例,在包封體118及導線110上方形成晶種層(圖中未示出)。在一些實施例中,晶種層為金屬層,所述金屬層可為單層或包括由不同材料形成的多個子層的複合層。晶種層可為例如鈦層及位於鈦層上方的銅層。晶種層可使用例如物理氣相沉積(PVD)或類似製程形成。然後在晶種層上形成光阻並將所述光阻圖案化。光阻可藉由旋轉塗佈或類似製程形成,且可將所述光阻暴露於光以進行圖案化。所述圖案化形成貫穿光阻的開口以暴露出晶種層,其中所述光阻中的所述開口對應於導通孔136。然後在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。可藉由例如電鍍或無電鍍覆等鍍覆或者類似製程形成導電材料。導電材料可包括像銅、鈦、鎢、鋁或類似物等金屬。導電材料與晶種層的位於下方的部分的組合形成導通孔136。移除光阻以及晶種層的上面未形成導電材料的部分。可藉由例如使用氧電漿或類似物的可接受的灰化製程或剝除製程移除光阻。一旦光阻被移除,便例如藉由使用可接受的蝕刻製程(例如藉由濕法蝕刻或乾法蝕刻)移除晶種層的被暴露出的部分。
根據一些實施例,在導通孔136上及導通孔136周圍形成介電層138。在形成之後,介電層138圍繞導通孔136。介電層138可提供電性絕緣及環境保護。介電層138可為:聚合物,例如聚苯並噁唑(PBO)、聚醯亞胺、苯並環丁烯(BCB)或類似物;氮化物,例如氮化矽或類似物;氧化物,例如氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)或類似物;包封體、模塑化合物、環氧樹脂或類似物;或其組合。介電層138可例如藉由旋轉塗佈、疊層、化學氣相沉積(CVD)或類似製程形成。在一些實施例中,在形成介電層138之後,可執行平坦化製程(例如,CMP或研磨製程)以暴露出導通孔136。
在其他實施例中,可在導通孔136之前形成介電層138。在此類實施例中,貫穿介電層138形成開口以暴露出導線110的一些部分。所述開口可例如使用雷射鑽孔、蝕刻或類似製程來形成。然後在開口中形成導通孔136,以與導線110進行物理及電性接觸。在一些實施例中,在形成導通孔136之後,可執行平坦化製程(例如,CMP或研磨製程)。
在圖9中,根據一些實施例,自第二載體基底142剝離結構,並將所述結構單體化以形成個別內連線結構100。圖9示出根據一些實施例,在單體化之後的內連線結構100。藉由沿著例如位於圖2至圖8中所示的第一區101A與第二封裝區101B之間的切割道區鋸切來執行單體化製程。鋸切將第一區101A自相鄰區(例如,第二區101B)單體化,以形成多個單體化的內連線結構100。如圖9所示,內連線結構100可具有允許與內連線結構100進行後續電性連接的導通孔136及金屬化圖案134。
圖10至圖16示出根據一些實施例,形成包括多個內連線結構100A至100B的封裝200(參見圖16)。封裝200的內連線結構100A至100B可類似於圖9所示的內連線結構100。藉由在封裝200內使用多個內連線結構100而非單個更大的內連線結構,可降低製造成本並且可提高良率。以下針對圖40A至圖42B更詳細地闡述在封裝中使用多個內連線結構100的一些優點。封裝200可包括與所示不同數量的內連線結構100,並且封裝200內的內連線結構100可類似或不同。
圖10示出根據一些實施例,將內連線結構100A至100B放置在載體基底202上。載體基底202可類似於先前所述的第一載體基底102。如圖10所示,可將內連線結構100A至100B放置成使得每個內連線結構100A至100B的重佈線結構120面向載體基底202。內連線結構100A至100B可使用例如黏著劑層204貼合至載體基底202。在一些實施例中,黏著劑層204可類似於先前所述的釋放層104。圖10示出放置兩個內連線結構100A至100B,但在其他實施例中可放置兩個以上的內連線結構。
在圖11中,根據一些實施例,在內連線結構100A至100B上形成包封體206。包封體206可為模塑化合物、環氧樹脂或類似物,並且可類似於先前所述的包封體118。包封體206可藉由壓縮模塑、轉移模塑或類似模塑施加,並且可形成於載體基底202上方,使得內連線結構100A至100B被掩埋或覆蓋。包封體206可以液體或半液體形式施加,且接著隨後固化。
在圖12中,根據一些實施例,執行平坦化製程以移除多餘的包封體206,並且形成導電連接件208。平坦化製程可移除包封體206,使得每個內連線結構100A至100B的導通孔136及介電層138被暴露出。在執行平坦化製程之後,包封體206的表面與內連線結構100A至100B的表面可共面。平坦化製程可包括CMP製程、研磨製程及蝕刻製程、類似製程、或其組合。
仍參照圖12,在導通孔136上形成導電連接件208。導電連接件208可為球柵陣列(BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(ENEPIG)形成的凸塊或類似物。導電連接件208可包含例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合等導電材料。在一些實施例中,藉由最初利用蒸鍍、電鍍、印刷、焊料轉移、植球或類似製程形成焊料層來形成導電連接件208。一旦已在所述結構上形成焊料層,便可執行迴焊,以便將所述材料成形為所期望的凸塊形狀。在另一實施例中,導電連接件208包括藉由濺鍍、印刷、電鍍、無電鍍覆、CVD或類似製程形成的金屬柱(例如銅柱)。金屬柱可不含焊料,且具有實質上垂直的側壁。在一些實施例中,在金屬柱的頂部上形成金屬頂蓋層。金屬頂蓋層可包含鎳、錫、錫-鉛、金、銀、鈀、銦、鎳-鈀-金、鎳-金、類似物或其組合,且可藉由鍍覆製程形成金屬頂蓋層。
在圖13中,根據一些實施例,自載體基底202剝離結構,並且在內連線結構100A至100B上形成導電連接件210。先前沉積的包封體206固定多個內連線結構100A至100B,藉此形成包括多個內連線結構100A至100B的實質上剛性的結構,其在本文中可被稱為「連接結構」。導電連接件210可形成於每個內連線結構100A至100B的重佈線結構120的金屬化圖案134上。在一些實施例中,導電連接件210包括焊劑,並且在焊劑浸漬製程中形成。在一些實施例中,導電連接件210包括例如焊料膏、銀膏、或類似物等導電膏,並且在印刷製程中被分配。在一些實施例中,導電連接件210以類似於導電連接件208的方式形成,並且可由與導電連接件208類似的材料形成。
轉向圖14,根據一些實施例,示出核心基底250。隨後將核心基底250結合至連接結構的導電連接件210(參見圖15)。利用核心基底250的優點在於核心基底250是在單獨的製程中製造的,此允許單獨的測試,使得可使用已知良好的核心基底250。舉例而言,在一些實施例中,在將核心基底250結合至導電連接件210之前,可對核心基底250進行個別或分批測試、確認及/或驗證。核心基底250可包括主動元件及被動元件(圖中未示出),或者可無主動元件、被動元件或主動元件及被動元件兩者。可使用各種各樣的元件,例如電晶體、電容器、電阻器、電感器、其組合及類似物。可使用任何合適的方法來形成元件。
可根據適用的製造製程處理核心基底250,以在核心基底250中形成重佈線結構。舉例而言,核心基底250包含核心材料252。核心材料252包括一或多層玻璃纖維、樹脂、填充材料、預浸體(pre-preg)、環氧樹脂、二氧化矽填充材料、味之素構成膜(Ajinomoto Build-up Film,ABF)、聚醯亞胺、模塑化合物、其他材料及/或其組合。核心材料252可由有機材料及/或無機材料形成。在一些實施例中,舉例而言,核心材料252可包括二或更多層材料。在一些實施例中,核心材料252包括嵌入在內部的一或多個被動部件(圖中未示出)。核心材料252可包含其他材料或部件。
形成延伸貫穿核心材料252的導通孔256。在一些實施例中,導通孔256可包含導電材料,例如銅、銅合金或其他導體,並且可包括障壁層(圖中未示出)、襯墊(圖中未示出)、晶種層(圖中未示出)及/或填充材料251。導通孔256提供自核心材料252的一側至核心材料252的另一側的垂直電性連接。舉例而言,一些導通孔256耦合在核心材料252的一側上的導電特徵與核心材料252的相對側上的導電特徵之間。在一些實施例中,可使用鑽孔製程、微影、雷射製程或另一種合適的技術在核心材料252中形成用於導通孔256的開口。然後用導電材料填充或鍍覆用於導通孔256的開口。在一些實施例中,導通孔256是中心填充有絕緣填充材料251的中空穿孔。
在核心材料252的相對側上形成重佈線結構253。藉由導通孔256電性耦合重佈線結構253。重佈線結構253各自包括由ABF、預浸體或類似物形成的介電層257及金屬化圖案255。每個相應的金屬化圖案255具有位於相應的介電層257的主表面上並沿所述主表面延伸的線部分,並且具有延伸貫穿相應的介電層257的通孔部分。重佈線結構253各自可包括用於外部連接的凸塊下金屬(UBM)254及保護重佈線結構253的特徵的阻焊劑258。核心基底250的每個重佈線結構253可具有比圖14所示更多或更少的介電層257及金屬化圖案255。
轉向圖15,根據一些實施例,將核心基底250貼合至內連線結構100A至100B。核心基底250可類似於圖14所示的核心基底250。貼合核心基底250可包括將圖13的結構放置在核心基底250上,使得導電連接件210物理接觸核心基底250的一側的UBM 254。然後可對導電連接件210執行回焊製程,以物理及電性耦合核心基底250及內連線結構100A至100B。
在一些實施例中,可在內連線結構100A至100B與核心基底250之間、並且圍繞導電連接件210形成底部填料212。底部填料212可在貼合核心基底250之後藉由毛細流動製程形成,或者可在貼合核心基底250之前藉由合適的沉積方法形成。底部填料212可為模塑化合物、環氧樹脂、底部填料、模塑底部填料(molding underfill,MUF)、樹脂或類似物,並且可類似於先前所述的包封體206或包封體118。
在一些實施例中,可在核心基底250上形成導電連接件214。舉例而言,導電連接件214可形成於核心基底250的UBM 254上。導電連接件214可為球柵陣列(BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(ENEPIG)形成的凸塊或類似物。導電連接件214可包含例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合等導電材料。在一些實施例中,藉由最初利用蒸鍍、電鍍、印刷、焊料轉移、植球或類似製程形成焊料層來形成導電連接件214。一旦已在所述結構上形成焊料層,便可執行迴焊,以便將所述材料成形為所期望的凸塊形狀。在另一實施例中,導電連接件214包括藉由濺鍍、印刷、電鍍、無電鍍覆、CVD或類似製程形成的金屬柱(例如銅柱)。金屬柱可不含焊料,且具有實質上垂直的側壁。在一些實施例中,在金屬柱的頂部上形成金屬頂蓋層。金屬頂蓋層可包含鎳、錫、錫-鉛、金、銀、鈀、銦、鎳-鈀-金、鎳-金、類似物或其組合,且可藉由鍍覆製程形成金屬頂蓋層。
圖16示出根據一些實施例,貼合半導體元件260A至260C以形成封裝200。半導體元件260A至260C物理及電性連接至導電連接件208,以在半導體元件260A至260C與內連線結構100A至100B之間進行電性連接。
圖16示出貼合三個半導體元件260A至260C,但在其他實施例中,可將一個、兩個或三個以上的半導體元件260貼合至導電連接件208。在一些實施例中,貼合至導電連接件208的半導體元件260可包括多於一個相同類型的半導體元件260,或者可包括二或更多不同類型的半導體元件260。半導體元件260A至260C可以不同於所示的佈置或配置來貼合。舉例而言,圖16示出電性連接至內連線結構100A及內連線結構100B兩者的一個半導體元件260B,但在其他實施例中,每個半導體元件260可電性連接至單個內連線結構100。
可使用例如拾取及放置製程等合適的製程將半導體元件260A至260C放置在導電連接件208上。半導體元件260A至260C可被放置成使得半導體元件260A至260C的導電區(例如,接觸墊、導電連接件、焊料凸塊或類似物)與內連線結構100A至100B上相應的導電連接件208對準。一旦物理接觸,便可利用回焊製程將導電連接件208結合至半導體元件260A至260C,藉此形成封裝200。圖10至圖16中所示的製程是「晶片最後(chip-last)」製程,其中半導體元件260A至260C在貼合核心基底250之後被貼合。在其他實施例中,半導體元件260A至260C可在貼合核心基底250之前被貼合。
如圖16所示,可在半導體元件260A至260C與內連線結構100A至100B之間沉積底部填料215。底部填料215亦可至少部分地圍繞導電連接件208。底部填料215可為例如模塑化合物、環氧樹脂、底部填料、模塑底部填料(MUF)、樹脂或類似物等材料,並且可類似於先前所述的底部填料117或包封體118。在一些實施例中,內連線結構100的側壁、底部填料212的側壁及核心基底250的側壁可近似共面。在其他實施例中,內連線結構100的側壁、底部填料212的側壁及核心基底250的側壁中的兩者可近似共面,或者所述側壁中的所有側壁可能皆不近似共面。
如圖16所示,將半導體元件260A至260C中的一或多者電性連接至內連線結構100的內連部件50。在一些情形中,二或更多個半導體元件260A至260C可藉由內連部件50至少部分地彼此連接。藉由如本文中所述在內連線結構100的靠近半導體元件260A至260C的層中形成內連部件50,可減小半導體元件260A至260C之間的連接的佈線距離,此可增加半導體元件260A至260C之間傳送的電性訊號的頻寬或速度,藉此改善高速操作。如此一來,內連部件50可增加半導體元件260A至260C之間的通訊頻寬,同時保持低接觸電阻及高可靠性。此外,內連部件50中可用的更大的佈線密度可在半導體元件260A至260C之間提供更高效的佈線,並且在一些情形中可減少在內連線結構100A至100B中使用的金屬化圖案的數量或在核心基底250中使用的金屬化圖案的數量。在一些情形中,形成具有IPD 80的內連線結構100可減小半導體元件260與所述IPD 80之間的連接的佈線距離,此可改善高速操作。
每個半導體元件260A至260C可包括:一或多個積體扇出(integrated fan-out,InFO)結構;半導體封裝;積體電路晶粒,例如邏輯晶粒(例如,中央處理單元(central processing unit,CPU)、圖形處理單元(graphics processing unit,GPU)、系統晶片(system-on-a-chip,SoC)、晶圓上部件(component-on-a-wafer,CoW)、應用處理器(application processor,AP)、微控制器等);記憶體晶粒(例如,動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體(static random access memory,SRAM)晶粒等);電源管理晶粒(例如,電源管理積體電路(power management integrated circuit,PMIC)晶粒);射頻(radio frequency,RF)晶粒;感測器晶粒;微機電系統(micro-electro-mechanical-system,MEMS)晶粒;訊號處理晶粒(例如,數位訊號處理(digital signal processing,DSP)晶粒);前端晶粒(例如,類比前端(analog front-end,AFE)晶粒);輸入-輸出(input-output,I/O)晶粒;類似物或其組合。積體電路晶粒可包括包含多個記憶體晶粒的記憶體元件,例如混合記憶體立方(hybrid memory cube,HMC)模組、高頻寬記憶體(high bandwidth memory,HBM)模組或類似物。在一些實施例中,根據特定功能的需要,半導體元件260A至260C中的一或多者在其中包括積體電路元件,例如電晶體、電容器、電感器、電阻器、金屬化層、外部連接件及類似物。
圖17至圖21示出根據一些實施例,形成包括多個內連線結構100A至100B及多個核心基底250A至250B的封裝300(參見圖21)。封裝300的內連線結構100A至100B可類似於圖9所示的內連線結構100A至100B。除了封裝300的核心基底250A至250B可具有較圖14所示的核心基底250相對較小的尺寸之外,封裝300的核心基底250A至250B可類似於圖14所示的核心基底250。因此,除了使用多個核心基底250A至250B代替單個核心基底250之外,封裝300可類似於圖16所示的封裝200。藉由在封裝300內使用多個核心基底250A至250B代替單個較大的核心基底250,可降低製造成本,且可提高良率。封裝300可包括與所示不同數量或配置的內連線結構100或核心基底250。
在圖17中,根據一些實施例,示出包括多個內連線結構100A至100B的結構。除了圖17的結構已被貼合至載體302之外,圖17所示的結構類似於先前在圖13中所示的連接結構。舉例而言,圖17所示的結構包括由包封體206固定的多個內連線結構100A至100B,所述包封體206可類似於先前所述的包封體206。導電連接件208及導電連接件210已形成於內連線結構100A至100B上,其可類似於先前所述的導電連接件208及導電連接件210。圖17所示的結構可以與針對圖13所示結構闡述的方式類似的方式形成。載體302可類似於第一載體基底102,或者可為例如膠帶。
在圖18中,根據一些實施例,將核心基底250A至250B貼合至內連線結構100A至100B。核心基底250A至250B可類似於圖14所示的核心基底250。核心基底250A至250B的側向尺寸被示出為大於內連線結構100A至100B的側向尺寸,但在其他實施例中,核心基底250A至250B可具有較內連線結構100A/B小的一或多個側向尺寸。貼合核心基底250A至250B可包括將核心基底250A至250B放置在圖17的結構上,使得導電連接件210物理接觸核心基底250A至250B的UBM 254。舉例而言,圖18示出放置在內連線結構100A上的核心基底250A及放置在內連線結構100B上的核心基底250B。然後可對導電連接件210執行回焊製程,以物理及電性耦合核心基底250A至250B及內連線結構100A至100B。圖18示出貼合至每個內連線結構100的單個核心基底250,但在其他實施例中,可將多於一個核心基底250貼合至單個內連線結構100,或者可將單個核心基底250貼合至多於一個內連線結構100。
在圖19中,根據一些實施例,在內連線結構100A至100B與核心基底250A至250B之間形成底部填料312。底部填料312可圍繞並保護導電連接件210。底部填料312可在貼合核心基底250A至250B之後藉由毛細流動製程形成,或者可在貼合核心基底250A至250B之前藉由合適的沉積方法形成。底部填料312可為模塑化合物、環氧樹脂、底部填料、模塑底部填料(MUF)、樹脂或類似物,並且可類似於先前所述的包封體206或包封體118。
在圖20中,根據一些實施例,執行平坦化製程以移除多餘的底部填料312,並且形成導電連接件214。平坦化製程可移除底部填料312,使得核心基底250A至250B的UBM 254被暴露出。在執行平坦化製程之後,底部填料312的表面與核心基底250A至250B的表面可共面。平坦化製程可包括CMP製程、研磨製程及蝕刻製程、類似製程、或其組合。然後可於核心基底250A至250B的UBM 254上形成導電連接件214。導電連接件214可類似於針對圖15所述的導電連接件214,並且可以類似的方式形成。
圖21示出根據一些實施例,貼合半導體元件260A至260C以形成封裝300。半導體元件260A至260C可在自結構移除載體302之後貼合至導電連接件208。半導體元件260A至260C物理及電性連接至導電連接件208,以在半導體元件260A至260C與內連線結構100A至100B之間進行電性連接。半導體元件260A至260C可類似於先前針對圖16所述的半導體元件260A至260C。圖21示出貼合三個半導體元件260A至260C,但在其他實施例中,可將一個、兩個或三個以上的半導體元件260貼合至導電連接件208。半導體元件260A至260C可以不同於所示的佈置或配置來貼合。
可使用與針對圖16所述的製程類似的製程將半導體元件260A至260C貼合至導電連接件208。舉例而言,可將半導體元件260A至260C放置在導電連接件208上,並且可利用回焊製程以將導電連接件208結合至半導體元件260A至260C,藉此形成封裝300。圖17至圖21中所示的製程是「晶片最後」製程,其中半導體元件260A至260C在貼合核心基底250A至250B之後被貼合。在其他實施例中,半導體元件260A至260C可在貼合核心基底250A至250B之前被貼合。如圖21所示,可在半導體元件260A至260C與內連線結構100A至100B之間沉積底部填料215。底部填料215可類似於針對圖16所述的底部填料215,並且可以類似的方式形成。
圖22至圖28示出根據一些實施例,形成包括多個內連線結構100A至100B及一或多個通孔結構450的封裝400(參見圖28)。封裝400的內連線結構100A至100B可類似於圖9所示的內連線結構100A至100B。封裝400包括核心基底250,所述核心基底250可類似於圖14所示的核心基底250。在其他實施例中,可使用多個核心基底250,並且以下針對圖30闡述示例性實施例。除了封裝400除內連線結構100A至100B之外還包括一或多個通孔結構450(以下將更詳細地闡述)之外,封裝400可類似於圖16中所示的封裝200。在一些實施例中,通孔結構450可用於向封裝400的一或多個半導體元件260傳輸電性訊號及/或電功率。通孔結構450亦可被配置用於功率應用或者安全地提供相對大的電壓或電流。藉由使用與內連線結構100分開形成的通孔結構450,可使用不同的製程在通孔結構450中形成更適合高功率應用的特徵,例如具有更大大小的導電特徵。另外,可降低封裝的製造成本,並且可提高製造封裝的良率。封裝400可包括與所示不同數量或配置的內連線結構100、通孔結構450或核心基底250。
轉向圖22,根據一些實施例,示出通孔結構450。除了通孔結構450不包括內連部件50或IPD 80之外,通孔結構450類似於圖9所示的內連線結構100。在其他實施例中,通孔結構450可含有內連部件50或IPD 80。在一些實施例中,通孔結構450可以與在圖2至圖9中針對內連線結構100所述的方式類似的方式形成。通孔結構450包括重佈線結構420,所述重佈線結構420可類似於先前針對內連線結構100所述的重佈線結構120,並且可使用類似的技術形成。通孔結構450包括導通孔436,所述導通孔436可類似於先前針對內連線結構100所述的導通孔136,並且可使用類似的技術形成。在一些實施例中,重佈線結構420或導通孔436可具有較重佈線結構120或導通孔136的相應導電特徵大的導電特徵(例如,更厚、更大的線寬、更大的直徑等)。通孔結構450還包括連接至重佈線結構420及導通孔436的穿孔456。穿孔456可類似於先前針對內連線結構100所述的穿孔116,並且可使用類似的技術形成。在一些實施例中,穿孔456可具有較穿孔116大的大小。在一些實施例中,穿孔456的寬度可在穿孔116的寬度的約20%與約200%的範圍內。在一些情形中,形成大小較大的導電特徵可在使用相對大的電流或電壓時降低電阻並提高效能。通孔結構450可具有較內連線結構100大、小或與內連線結構100大約相同的尺寸。
圖23示出根據一些實施例,在載體基底402上放置通孔結構450及內連線結構100A至100B。載體基底402可類似於先前所述的第一載體基底102。可使用例如黏著劑層404將通孔結構450及內連線結構100A至100B貼合至載體基底402。在一些實施例中,黏著劑層404可類似於先前所述的釋放層104。圖23示出放置一個通孔結構450及兩個內連線結構100A至100B,但在其他實施例中可放置更多的結構450或內連線結構100。圖23示出放置在內連線結構100A至100B之間的通孔結構450,但在其他實施例中,通孔結構450及內連線結構100A至100B可具有不同的佈置。
在圖24中,根據一些實施例,於通孔結構450及內連線結構100A至100B上形成包封體206。包封體206可為模塑化合物、環氧樹脂或類似物,並且可類似於先前所述的包封體206。包封體206可藉由壓縮模塑、轉移模塑或類似模塑施加,並且可形成於載體基底402上方,使得通孔結構450及內連線結構100A至100B被掩埋或覆蓋。包封體206可以液體或半液體形式施加,且接著隨後固化。
在圖25中,根據一些實施例,執行平坦化製程以移除多餘的包封體206,並且形成導電連接件208。平坦化製程可移除包封體206,使得通孔結構450的導通孔436及內連線結構100A至100B的導通孔136被暴露出。在執行平坦化製程之後,包封體206的表面、通孔結構450的表面及內連線結構100A至100B的表面可共面。平坦化製程可包括CMP製程、研磨製程及蝕刻製程、類似製程、或其組合。
仍參照圖25,於通孔結構450的導通孔436及內連線結構100A至100B的導通孔136上形成導電連接件208。導電連接件208可類似於先前針對圖12所述的導電連接件208,並且可以類似的方式形成。
在圖26中,根據一些實施例,自載體基底402剝離結構,並且於通孔結構450及內連線結構100A至100B上形成導電連接件210。先前沉積的包封體206固定通孔結構450及多個內連線結構100A至100B,藉此形成實質上剛性的結構,其在本文中可被稱為「連接結構」。導電連接件210可形成於通孔結構450的重佈線結構420上及內連線結構100A至100B的重佈線結構120上。導電連接件210可類似於針對圖13所述的導電連接件,並且可以類似的方式形成。
轉向圖27,根據一些實施例,將核心基底250貼合至通孔結構450及內連線結構100A至100B。核心基底250可類似於圖14所示的核心基底250。貼合核心基底250可包括將圖26的連接結構放置在核心基底250上,使得導電連接件210物理接觸核心基底250的一側的UBM 254。然後可對導電連接件210執行回焊製程,以將核心基底250物理及電性耦合至通孔結構450及內連線結構100A至100B。
在一些實施例中,可於通孔結構450與核心基底250之間、以及內連線結構100A至100B與核心基底250之間形成底部填料212。底部填料212可圍繞導電連接件210。底部填料212可在貼合核心基底250之後藉由毛細流動製程形成,或者可在貼合核心基底250之前藉由合適的沉積方法形成。底部填料212可類似於先前針對圖15所述的底部填料212。
仍參照圖27,在一些實施例中,可於核心基底250上形成導電連接件214。舉例而言,導電連接件214可形成於核心基底250的UBM 254上。導電連接件214可類似於針對圖15所述的導電連接件214,並且可以類似的方式形成。
圖28示出根據一些實施例,貼合半導體元件260A至260C以形成封裝400。半導體元件260A至260C物理及電性連接至導電連接件208,以在半導體元件260A至260C、通孔結構450及內連線結構100A至100B之間進行電性連接。圖28僅示出直接耦合至通孔結構450的半導體元件260B,但在其他實施例中,通孔結構450可直接耦合至不同的半導體元件260或多個半導體元件260。半導體元件260A至260C可類似於先前針對圖16所述的半導體元件260A至260C。圖28示出貼合三個半導體元件260A至260C,但在其他實施例中,可將一個、兩個或三個以上的半導體元件260貼合至導電連接件208。半導體元件260A至260C可以不同於所示的佈置或配置來貼合。
可使用與針對圖16所述的製程類似的製程將半導體元件260A至260C貼合至導電連接件208。舉例而言,可將半導體元件260A至260C放置在導電連接件208上,並且可利用回焊製程以將導電連接件208結合至半導體元件260A至260C,藉此形成封裝400。圖23至圖28中所示的製程是「晶片最後」製程,其中半導體元件260A至260C在貼合核心基底250之後被貼合。在其他實施例中,半導體元件260A至260C可在貼合核心基底250之前被貼合。如圖28所示,可在半導體元件260A至260C下方沉積底部填料215。底部填料215可類似於針對圖16所述的底部填料215,並且可以類似的方式形成。
圖29示出根據一些實施例,包括通孔結構450及內連線結構100A至100B的封裝460。除了圖29的核心基底250具有較圖28所示的核心基底250大的尺寸之外,封裝460類似於圖28中所示的封裝400。在一些實施例中,封裝460可以與封裝400類似的方式形成。舉例而言,可使用類似的製程形成與圖26中所示結構類似的結構。然後可使用與針對圖27所述的技術類似的技術將結構貼合至核心基底250。舉例而言,可將結構的導電連接件210放置在核心基底250上,且然後可執行回焊製程。如圖29所示,結構的尺寸D1可小於核心基底250的尺寸D2。可在結構與核心基底250之間沉積底部填料212,所述底部填料212可類似於先前針對圖15所述的底部填料212。
然後可使用與針對圖16所述的製程類似的製程將半導體元件260A至260C貼合至導電連接件208。舉例而言,可將半導體元件260A至260C放置在導電連接件208上,並且可利用回焊製程以將導電連接件208結合至半導體元件260A至260C,藉此形成封裝460。此製程是「晶片最後」製程,其中半導體元件260A至260C在貼合核心基底250之後被貼合。在其他實施例中,半導體元件260A至260C可在貼合核心基底250之前被貼合。如圖29所示,可在半導體元件260A至260C下方沉積底部填料215。底部填料215可類似於針對圖16所述的底部填料215,並且可以類似的方式形成。
圖30示出根據一些實施例,包括通孔結構450、內連線結構100A至100B及多個核心基底250A至250C的封裝470。除了封裝470具有多個核心基底250A至250C之外,封裝470類似於圖29所示的封裝460。除了封裝470的核心基底250A至250C可具有較圖14所示的核心基底250相對較小的尺寸之外,封裝470的核心基底250A至250C可類似於圖14所示的核心基底250。封裝470的核心基底250A至250C可類似於圖21所示的封裝300的核心基底250A至250B。藉由在封裝470內使用多個核心基底250A至250C代替單個較大的核心基底250,可降低製造成本,且可提高良率。封裝470可包括與所示不同數量或配置的通孔結構450、內連線結構100或核心基底250。舉例而言,可將多個核心基底250貼合至單個通孔結構450或內連線結構100,或者可將多個通孔結構450及/或內連線結構100貼合至同一核心基底250。
在一些實施例中,可使用與針對圖17至圖21中的封裝300或針對圖22至圖28中的封裝400所述的技術類似的技術來形成封裝470。舉例而言,可使用類似的製程來形成與圖26中所示結構類似的結構。然後可使用與針對圖18所述的技術類似的技術將多個核心基底250A至250C貼合至結構。舉例而言,可將核心基底250A至250C放置在結構的導電連接件210上,且然後可執行回焊製程。可在結構與核心基底250A至250C之間沉積底部填料212,所述底部填料212可類似於先前針對圖15所述的底部填料212。
然後可使用與針對圖16所述的製程類似的製程將半導體元件260A至260C貼合至導電連接件208。舉例而言,可將半導體元件260A至260C放置在導電連接件208上,並且可利用回焊製程以將導電連接件208結合至半導體元件260A至260C,藉此形成封裝470。此製程是「晶片最後」製程,其中半導體元件260A至260C在貼合核心基底250A至250C之後被貼合。在其他實施例中,半導體元件260A至260C可在貼合核心基底250A至250C之前被貼合。如圖30所示,可在半導體元件260A至260C下方沉積底部填料215。底部填料215可類似於針對圖16所述的底部填料215,並且可以類似的方式形成。
圖31至圖39示出根據一些實施例,形成包括多個內連線結構512A至512B及穿孔510的封裝500(參見圖39)。除了封裝500除內連線結構512A至512B之外還包括一或多個穿孔510之外,封裝500可類似於圖16中所示的封裝200。除了在貼合之前未於導線110上方形成介電層138及導通孔136(參見圖34)之外,封裝500的內連線結構512A至512B可類似於圖9中所示的內連線結構100A至100B。封裝500包括核心基底250,所述核心基底250可類似於圖14中所示的核心基底250。在其他實施例中,可使用多個核心基底250。在一些實施例中,穿孔510可用於向封裝500的一或多個半導體元件260傳輸電性訊號及/或電功率。穿孔510亦可被配置用於功率應用或者安全地提供相對大的電壓或電流。藉由使用與內連線結構512分開形成的穿孔510,穿孔510可被形成為具有較內連線結構512的導電特徵(例如,穿孔116)更大的大小。另外,可降低封裝的製造成本,並且可提高製造封裝的良率。封裝500可包括與所示不同數量或配置的內連線結構512或穿孔510。
根據一些實施例,在圖31至圖33中,在載體基底502上形成穿孔510。載體基底502可類似於先前所述的第一載體基底102。可於載體基底502上形成釋放層504,並且所述釋放層504可類似於先前所述的釋放層104。圖31至圖33示出形成穿孔510的實例,但在其他實施例中可使用其他技術。在一些實施例中,使用與用於形成針對圖4所述的穿孔116的技術類似的技術來形成穿孔510。
轉向圖31,可於載體基底502上方形成晶種層505。舉例而言,若存在,則晶種層505可形成於釋放層504上方。在一些實施例中,晶種層是金屬層,所述金屬層可為單層或包括由不同材料形成的多個子層的複合層。在特定的實施例中,晶種層包括鈦層及位於鈦層上方的銅層。晶種層可使用例如PVD或類似製程形成。在一些實施例中,晶種層505可類似於針對圖3或圖4所述的晶種層,並且可以類似的方式形成。在其他實施例中,未使用晶種層505。
仍參照圖31,在晶種層505上形成光阻506,並將所述光阻506圖案化。光阻506可使用合適的微影技術形成及圖案化。舉例而言,可藉由旋轉塗佈或類似製程形成光阻506,並且可將所述光阻506暴露於光以進行圖案化。在光阻506中形成的圖案對應於穿孔510。舉例而言,圖案化可形成貫穿光阻506的開口508,所述開口508暴露出晶種層505。
在圖32中,於光阻506的開口508中及晶種層505的被暴露出的部分上形成導電材料509。可藉由例如電鍍或無電鍍覆或類似鍍覆等鍍覆形成導電材料509。導電材料可包括像銅、鈦、鎢、鋁或類似物等金屬,並且可類似於針對圖4所述的穿孔116的導電材料。
在圖33中,移除光阻506及晶種層505的上面未形成導電材料509的部分,藉此形成穿孔510。可藉由例如使用氧電漿或類似物的可接受的灰化或剝除製程來移除光阻506。一旦光阻506被移除,便例如藉由使用可接受的蝕刻製程、例如藉由濕法或乾法蝕刻來移除晶種層505的被暴露出的部分。晶種層505的剩餘部分及導電材料509形成穿孔510。在一些實施例中,穿孔510可被形成為具有較內連線結構100的穿孔116大的大小。在一些實施例中,穿孔510的寬度可在穿孔116的寬度的約20%與約200%的範圍內。在一些情形中,形成大小較大的穿孔可在使用相對大的電流或電壓時降低電阻並提高效能。
圖34示出根據一些實施例,將內連線結構512A至512B放置在載體基底502上。如圖34所示,可將內連線結構512A至512B放置成使得每個內連線結構512A至512B的重佈線結構120面向載體基底502。圖34示出放置兩個內連線結構512A至512B,但在其他實施例中可放置兩個以上的內連線結構512。圖34示出位於內連線結構512A至512B之間的穿孔510,但穿孔510及內連線結構512A至512B可具有任何合適的佈置。
仍參照圖34,根據一些實施例,於穿孔510及內連線結構512A至512B上形成包封體206。包封體206可為模塑化合物、環氧樹脂、樹脂或類似物,並且可類似於先前針對圖11所述的包封體206。包封體206可藉由壓縮模塑、轉移模塑或類似模塑施加,並且可形成於載體基底502上方,使得穿孔510及/或內連線結構512A至512B被掩埋或覆蓋。包封體206可以液體或半液體形式施加,且接著隨後固化。包封體206可圍繞穿孔510,此將穿孔510與內連線結構512A至512B分開並絕緣。
在圖35中,根據一些實施例,執行平坦化製程以移除多餘的包封體206。平坦化製程可移除包封體206,使得內連線結構512A至512B的穿孔510及導線110被暴露出。在一些實施例中,平坦化製程可移除穿孔510的一些部分。在執行平坦化製程之後,包封體206的表面、穿孔510的表面及內連線結構512A至512B的表面可共面。平坦化製程可包括CMP製程、研磨製程及蝕刻製程、類似製程、或其組合。
在圖36中,根據一些實施例,形成導通孔536及導電連接件208。導通孔536形成於內連線結構512A至512B的導線110上及穿孔510上。如此一來,將導通孔536電性連接至內連線結構512A至512B的穿孔510及穿孔116、內連部件50及IPD 80。在一些實施例中,導通孔536可包括凸塊下金屬(UBM)。導通孔536可類似於針對圖8所述的導通孔136,並且可以類似的方式形成。舉例而言,可沉積晶種層(圖中未示出),且然後可在晶種層上形成光阻並將所述光阻圖案化。圖案化形成貫穿光阻的開口以暴露出晶種層,其中光阻中的開口對應於導通孔536。然後在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。導電材料與晶種層的位於下方的部分的組合形成導通孔536。移除光阻及晶種層的上面未形成導電材料的部分。
仍參照圖36,根據一些實施例,可於導通孔536上及導通孔536周圍形成介電層538。介電層538可類似於針對圖8所述的介電層138,並且可以類似的方式形成。在形成之後,介電層538圍繞導通孔536,並且可在包封體206、穿孔510及內連線結構512A至512B上方延伸。介電層538可為導通孔536提供電性絕緣及環境保護。介電層538可為聚合物,例如聚苯並噁唑(PBO)、聚醯亞胺、苯並環丁烯(BCB)或類似物;氮化物,例如氮化矽或類似物;氧化物,例如氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)或類似物;包封體、模塑化合物、環氧樹脂或類似物;或其組合。介電層538可例如藉由旋轉塗佈、疊層、化學氣相沉積(CVD)或類似製程形成。在一些實施例中,在形成介電層538之後,可執行平坦化製程(例如,CMP或研磨製程)以暴露出導通孔536。
在其他實施例中,可在導通孔536之前形成介電層538。在此類實施例中,貫穿介電層538形成開口以暴露出穿孔510及導線110的一些部分。所述開口可例如使用雷射鑽孔、蝕刻或類似製程來形成。然後在開口中形成導通孔536,以與導線110進行物理及電性接觸。在一些實施例中,在形成導通孔536之後,可執行平坦化製程(例如,CMP或研磨製程)。
在圖37中,根據一些實施例,剝離結構,並且形成導電連接件210。執行剝離以使載體基底502自結構脫離。然後將結構翻轉並貼合至不同的載體基底552。載體基底552可類似於載體基底502,或者可為例如膠帶。可於載體基底502上形成釋放層554,以便於將結構貼合至載體基底502。釋放層554可類似於釋放層104,或者可為例如黏著劑層。先前沉積的包封體206固定穿孔510及多個內連線結構512A至512B,藉此形成實質上剛性的結構,其在本文中可被稱為「連接結構」。導電連接件210可形成於穿孔510上及內連線結構512A至512B的重佈線結構120上。導電連接件210可類似於針對圖13所述的導電連接件,並且可以類似的方式形成。
在圖38中,根據一些實施例,將核心基底250貼合至穿孔510及內連線結構512A至512B。核心基底250可類似於圖14中所示的核心基底250。在一些實施例中,貼合一個以上的核心基底250。貼合核心基底250可包括將核心基底250放置在圖37的結構上,使得導電連接件210物理接觸核心基底250的UBM 254。然後,可對導電連接件210執行回焊製程,以將核心基底250物理及電性耦合至穿孔510及內連線結構100A至100B。
仍參照圖38,根據一些實施例,可於核心基底250下方形成底部填料212。底部填料212可圍繞並保護導電連接件210。底部填料312可在貼合核心基底250之後藉由毛細流動製程形成,或者可在貼合核心基底250之前藉由合適的沉積方法形成。底部填料212可為模塑化合物、環氧樹脂、底部填料、模塑底部填料(MUF)、樹脂或類似物,並且可類似於先前針對圖15所述的底部填料212。
圖39示出根據一些實施例,貼合半導體元件260A至260C以形成封裝500。半導體元件260A至260C物理及電性連接至導電連接件208,以在半導體元件260A至260C、穿孔510及內連線結構512A至512B之間進行電性連接。圖39僅示出直接耦合至穿孔510的半導體元件260B,但在其他實施例中,穿孔510可直接耦合至不同的半導體元件260或多個半導體元件260。半導體元件260A至260C可類似於先前針對圖16所述的半導體元件260A至260C。圖39示出貼合三個半導體元件260A至260C,但在其他實施例中,可將一個、兩個或三個以上的半導體元件260貼合至導電連接件208。半導體元件260A至260C可以不同於所示的佈置或配置來貼合。
可使用與針對圖16所述的製程類似的製程將半導體元件260A至260C貼合至導電連接件208。舉例而言,可將半導體元件260A至260C放置在導電連接件208上,並且可利用回焊製程以將導電連接件208結合至半導體元件260A至260C,藉此形成封裝500。在圖31至圖39中所示的製程是「晶片最後」製程,其中半導體元件260A至260C在貼合核心基底250之後被貼合。在其他實施例中,半導體元件260A至260C可在貼合核心基底250之前被貼合。如圖39所示,可在半導體元件260A至260C下方沉積底部填料215。底部填料215可類似於針對圖16所述的底部填料215,並且可以類似的方式形成。
在一些情形中,形成具有多個較小內連線結構(例如,內連線結構100)而非單個較大重佈線結構的封裝可提高良率並降低處理成本。如在本文中所述,封裝可由多個較小的內連線結構形成,所述多個較小的內連線結構可一起提供與單個較大的重佈線結構相同的功能,例如電性佈線。在一些實施例中,內連線結構可包括提供附加功能的內連部件50或IPD 80。
在一些情形中,由於落在上面的顆粒、污染、線內缺陷(in-line defect)或類似物造成的處理缺陷可導致導線或導通孔中出現開路或短路。在一些情形中,單個重佈線結構的相對較大的面積增加在處理期間出現缺陷的可能性,此可導致整個封裝失效。藉由形成如在本文中所述的多個較小的內連線結構,局部缺陷的單次出現可能導致單個內連線結構失效而非整個封裝失效。每個內連線結構可在被包含在封裝內之前經受已知良好單元的測試,藉此減少由於內連線結構內的缺陷導致封裝失效的可能性。如此一來,可提高製造封裝的良率。此外,在一些情形中,使用較小的內連線結構可減少由於未對準而引起的翹曲或問題。在一些情形中,使用多個內連線結構可允許大於約80%的良率,儘管其他良率亦為可能的。
形成包括多個內連線結構而非單個重佈線結構的封裝可提高封裝的總良率,此可降低製造成本。舉例而言,在一些情形中,相較於每晶圓可形成的單個重佈線結構的數量,每晶圓可形成更多數量的未經單體化的內連線結構。此在圖40A至圖40D及圖41A至圖41D中示出,圖40A至圖40D及圖41A至圖41D示出封裝、晶圓及在其上面形成的結構的示意性俯視圖的示例性佈局。圖40A至圖40D及圖41A至圖41D中所示的佈局出於說明目的而被示出,並且在本文中所述的實施例可使用除了在該等實例中所示的佈局、佈置或配置之外的其他佈局、佈置或配置來形成。
轉向圖40A至圖40D,根據一些實施例,圖40A示出已作為製造封裝600的一部分在上面形成重佈線結構610的晶圓602的示例性佈局(參見圖40B)。晶圓602可類似於先前所述的第一載體基底102,例如矽晶圓或類似物。出於闡釋目的,重佈線結構610各自示出被標記為「A」、「B」、「C」及「D」的四個功能區,其代表重佈線結構610的具有特定功能的區。功能區A至D可包括例如電性佈線或類似物。如圖40A所示,對於所示晶圓602及重佈線結構610的示例性大小而言,可於單個晶圓602上同時形成兩個重佈線結構610。舉例而言,重佈線結構610可各自具有約130平方毫米的面積,並且晶圓602可為12英寸的晶圓,但其他大小亦為可能的。
作為形成封裝600的一部分,可隨後將圖40A中所示的兩個重佈線結構610單體化。圖40B示出示例性封裝600的俯視圖,所述示例性封裝600包括貼合至核心基底650的重佈線結構610。核心基底650可類似於針對圖14所述的核心基底250。在此實例中,對一個晶圓602進行處理會產生兩個封裝600,整體上對應於每晶圓兩個封裝600。
根據一些實施例,圖40C示出已作為製造封裝700的一部分在上面形成內連線結構710A至710D的晶圓702A至702D的示例性佈局(參見圖40D)。晶圓702A至702D可類似於先前所述的第一載體基底102,例如矽晶圓或類似物,並且可具有與晶圓602的大小類似的大小。出於闡釋目的,每個內連線結構710A至710D與重佈線結構610的不同功能區A至D相關聯。舉例而言,內連線結構710A對應於重佈線結構610的功能區A的功能。如此一來,四種類型的內連線結構710A至710D一起可具有與一個重佈線結構610相同的功能。如圖40C所示,對於所示晶圓702A至702D及內連線結構710A至710D的示例性大小而言,可於每個對應的單個晶圓702A至702D上同時形成十二個每一內連線結構710A至710D。舉例而言,內連線結構710A至710D可各自具有約32平方毫米的面積,並且晶圓702A至702D可為12英寸的晶圓,但其他大小亦為可能的。
作為形成封裝700的一部分,可隨後將圖40C中所示的內連線結構710A至710D單體化。圖40D示出示例性封裝700的俯視圖,所述示例性封裝700包括貼合至核心基底750的每個內連線結構710A至710D中的一者。核心基底750可類似於針對圖14所述的核心基底250。在此實例中,對四個晶圓702A至702D進行處理會產生十二個封裝700,整體上對應於每晶圓三個封裝700。因此,在此實例中,對於相同大小的晶圓而言,形成多個內連線結構710A至710D而非單個重佈線結構610可將每晶圓的總單元增加50%。如上所述,形成多個內連線結構710A至710D而非單個重佈線結構610可提高良率。舉例而言,在處理期間,晶圓602上的單個缺陷可因兩個可能的封裝600中的一個封裝600而減小良率(例如,良率減小50%),但晶圓702A至702D中的每一者上的單個缺陷僅因十二個可能的封裝700中的一個封裝700而減小良率(例如,良率減小約8%)。
重佈線結構610及內連線結構710A至710D是說明性實例,並且在其他情形中,重佈線結構610或內連線結構710的功能(例如,分區A、B、C及D)、大小、形狀、佈置或數量可能不同。舉例而言,圖40D中所示的封裝700可較圖40A中所示的封裝600大、小或與圖40A中所示的封裝600具有大約相同的大小。
圖41A至圖41B及圖42A至圖42B示出根據一些實施例,用於其他大小的重佈線結構及內連線結構的附加示例性佈局。圖41A至圖41B示出晶圓602及702A至702D,已作為製造封裝的一部分在晶圓602及702A至702D上形成了重佈線結構612及內連線結構712A至712D(未個別示出)。晶圓602及702A至702D可類似於針對圖40A至圖40D所述的晶圓。除了重佈線結構612及內連線結構712A至712D具有較小的大小之外,重佈線結構612及內連線結構712A至712D可類似於針對圖40A至圖40D所述的重佈線結構610及內連線結構710A至710D。舉例而言,重佈線結構612可具有約110平方毫米的面積,並且內連線結構712A至712D可各自具有約27.5平方毫米的面積,但其他大小亦為可能的。如圖41A所示,可於單個晶圓602上同時形成三個重佈線結構612,整體上對應於每晶圓三個封裝。如圖41B所示,可於每個對應的單個晶圓702A至702D上同時形成十六個每一內連線結構712A至712D,整體上對應於每晶圓四個封裝。因此,在此實例中,對於相同大小的晶圓而言,形成多個內連線結構712A至712D而非單個重佈線結構612可將每晶圓的總單元增加33%。
圖42A至圖42B示出晶圓602及702A至702D,已作為製造封裝的一部分在晶圓602及702A至702D上形成了重佈線結構614及內連線結構714A至714D(未個別示出)。晶圓602及702A至702D可類似於針對圖40A至圖40D所述的晶圓。除了重佈線結構614及內連線結構714A至714D具有較小的大小之外,重佈線結構614及內連線結構714A至714D可類似於針對圖40A至圖40D所述的重佈線結構610及內連線結構710A至710D。舉例而言,重佈線結構614可具有約91平方毫米的面積,並且內連線結構714A至714D可各自具有約23平方毫米的面積,但其他大小亦為可能的。如圖42A所示,可於單個晶圓602上同時形成五個重佈線結構614,整體上對應於每晶圓五個封裝。如圖42B所示,可於每個對應的單個晶圓702A至702D上同時形成二十六個每一內連線結構714A至714D,整體上對應於每晶圓六個半封裝。因此,在此實例中,對於相同大小的晶圓而言,形成多個內連線結構714A至714D而非單個重佈線結構614可將每晶圓的總單元增加30%。
亦可包括其他特徵及製程。舉例而言,可包括測試結構以幫助對三維(three-dimensional,3D)封裝或三維積體電路(three-dimensional integrated circuit,3DIC)元件進行驗證測試。所述測試結構可例如包括在重佈線層中或基底上形成的測試接墊(test pad),以使得能夠對3D封裝或3DIC進行測試、使用探針及/或探針卡(probe card)以及進行類似操作。可對中間結構以及最終結構執行驗證測試。另外,本文中所揭露的結構及方法可與包含對已知良好晶粒進行中間驗證的測試方法結合使用以提高良率並降低成本。
實施例可達成多個優勢。藉由如本文中所述形成包括貼合至一或多個核心基底的多個內連線結構的封裝,可提高良率,並且可提高每晶圓的單元數量,此可降低處理成本。在本文中所述的製程還允許形成較封裝的一或多個核心基底小的封裝的內連線結構。內連線結構可包括例如具有電性佈線的內連部件、IPD、穿孔或類似物等部件,此可允許設計靈活性及效能改善。內連線結構的使用還允許在貼合一或多個核心基底之前或貼合一或多個核心基底之後貼合半導體元件(例如,「晶片最後」)。內連線結構的使用允許在內連線結構中或鄰近內連線結構形成較大的穿孔,此可為封裝的較高功率操作提供益處。
根據本揭露的一些實施例,一種方法包括:將內連線結構貼合至載體基底,其中每個內連線結構包括:重佈線結構;第一包封體,位於所述重佈線結構上;以及通孔,延伸貫穿所述包封體以物理及電性連接至所述重佈線結構;在所述內連線結構上沉積第二包封體,其中相鄰內連線結構由所述第二包封體在側向上分隔開;在沉積所述第二包封體之後,將第一核心基底貼合至至少一個內連線結構的所述重佈線結構,其中所述核心基底電性連接至所述重佈線結構;以及將半導體元件貼合至所述內連線結構,其中所述半導體元件電性連接至所述內連線結構的所述通孔。在實施例中,至少一個內連線結構包括內連部件,其中所述內連部件被所述第一包封體圍繞,其中所述內連部件包括電性佈線。在實施例中,所述半導體元件電性連接至所述內連線結構的所述內連部件。在實施例中,至少一個內連線結構包括積體被動元件(IPD)。在實施例中,所述半導體元件在貼合所述第一核心基底之後被貼合。在實施例中,所述方法包括將第二核心基底貼合至至少一個內連線結構的所述重佈線結構,其中所述核心基底電性連接至所述重佈線結構。在實施例中,所述方法包括在所述第一核心基底與所述內連線結構之間沉積底部填料。在實施例中,所述方法包括在所述載體基底上形成穿孔,其中所述第二包封體沉積在所述穿孔上。在實施例中,第一內連線結構的所述通孔具有較第二內連線結構的所述通孔大的寬度。
根據本揭露的一些實施例,一種方法包括:形成第一內連線結構,其包括:在第一載體上形成第一通孔;在所述第一通孔上方沉積第一模塑材料;在所述第一通孔及所述第一模塑材料的第一側上形成重佈線結構,其中所述重佈線結構電性連接至所述第一通孔;以及在所述第一通孔及所述第一模塑材料的與所述第一側相對的第二側上形成第二通孔,其中所述第二通孔電性連接至所述第一通孔;形成連接結構,其包括:將所述第一內連線結構及第二內連線結構放置在第二載體上,以及在所述第一內連線結構與所述第二內連線結構之間沉積第二模塑材料;將第一核心基底連接至所述連接結構,其中所述第一核心基底連接至所述第一內連線結構的所述重佈線結構;以及將第一半導體元件連接至所述連接結構,其中所述第一半導體元件連接至所述第一內連線結構的所述第二通孔。在實施例中,所述方法包括對所述第二模塑材料、所述第一內連線結構及所述第二內連線結構執行平坦化製程,其中在執行平坦化製程之後,所述第二模塑材料與所述第一內連線結構的所述第二通孔共面。在實施例中,所述第二內連線結構包括重佈線結構,並且其中所述第一核心基底連接至所述第二內連線結構的所述重佈線結構。在實施例中,形成所述第一內連線結構包括將積體被動元件(IPD)放置在所述第一載體上,並在所述積體被動元件上方沉積所述第一模塑材料,其中所述第二通孔形成在所述積體被動元件上方並電性連接至所述積體被動元件。在實施例中,所述方法包括將第二核心基底連接至所述連接結構,其中所述第二核心基底未連接至所述第一內連線結構的所述重佈線結構。在實施例中,所述第一核心基底的側壁突出超過所述連接結構的側壁。在實施例中,將所述第一核心基底連接至所述連接結構包括使用焊料凸塊將所述第一核心基底結合至所述連接結構。
根據本揭露的一些實施例,一種封裝包括:基底,電性連接至多個內連線結構的相應第一側,其中所述內連線結構個別地至少部分地被包封體圍繞,其中所述內連線結構個別地包括:重佈線結構;穿孔,位於所述重佈線結構上;以及積體元件;以及半導體元件,電性連接至所述內連線結構的相應第二側,其中所述第二側與所述第一側相對。在實施例中,所述積體元件是本地佈線結構。在實施例中,所述積體元件藉由模塑材料與所述通孔及所述重佈線結構隔離。在實施例中,至少一個半導體元件電性連接至所述內連線結構中的至少兩者。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的各態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,此種等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、代替及變更。
50:內連部件 52:基底 54:元件 56:層間介電質(ILD) 58:導電插塞 60:內連線結構 62:接墊 64:鈍化膜 66:晶粒連接件 80:積體被動元件(IPD) 100、100A、100B:內連線結構 101A:區/第一區 101B:區/第二區/第二封裝區 102:第一載體基底 104:釋放層 110:導線 114:導電連接件 116:穿孔 117:底部填料 118:包封體 120:重佈線結構 122:金屬化圖案 124:介電層 126:金屬化圖案 128:介電層 130:金屬化圖案 132:介電層 134:金屬化圖案 136:導通孔 138:介電層 142:第二載體基底 144:釋放層 200:封裝 202:載體基底 204:黏著劑層 206:包封體 208、210、214:導電連接件 212:底部填料 215:底部填料 250、250A、250B、250C:核心基底 251:填充材料 252:核心材料 253:重佈線結構 254:凸塊下金屬(UBM) 255:金屬化圖案 256:導通孔 257:介電層 258:阻焊劑 260A、260B、260C:半導體元件 300:封裝 302:載體 312:底部填料 400:封裝 402:載體基底 404:黏著劑層 420:重佈線結構 436:導通孔 450:結構/通孔結構 456:穿孔 460、470、500:封裝 502:載體基底 504:釋放層 505:晶種層 506:光阻 508:開口 509:導電材料 510:穿孔 512A、512B:內連線結構 536:導通孔 538:介電層 552:載體基底 554:釋放層 600:封裝 602:晶圓 610、612、614:重佈線結構 650:核心基底 700:封裝 702A、702B、702C、702D:晶圓 710A、710B、710C、710D:內連線結構 712A、712B、712C、712D:內連線結構 714A、714B、714C、714D:內連線結構 750:核心基底 A、B、C、D:功能區/分區 D1、D2:尺寸
結合附圖閱讀以下詳細說明,會最佳地理解本揭露的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1示出根據一些實施例,內連部件的剖視圖。 圖2至圖9示出根據一些實施例,在用於形成內連部件的製程期間的中間步驟的剖視圖。 圖10至圖16示出根據一些實施例,在用於形成具有多個內連部件的封裝的製程期間的中間步驟的剖視圖。 圖17至圖21示出根據一些實施例,在用於形成具有多個內連部件及多個核心基底的封裝的製程期間的中間步驟的剖視圖。 圖22示出根據一些實施例,內連部件的剖視圖。 圖23至圖28示出根據一些實施例,在用於形成具有多個內連部件的封裝的製程期間的中間步驟的剖視圖。 圖29示出根據一些實施例,具有多個內連部件的封裝的剖視圖。 圖30示出根據一些實施例,具有多個內連部件及多個核心基底的封裝的剖視圖。 圖31至圖39示出根據一些實施例,在用於形成具有多個內連部件的封裝的製程期間的中間步驟的剖視圖。 圖40A、圖40B、圖40C及圖40D示出根據一些實施例,在形成封裝時的中間步驟的俯視圖。 圖41A及圖41B示出根據一些實施例,在形成封裝時的中間步驟的俯視圖。 圖42A及圖42B示出根據一些實施例,在形成封裝時的中間步驟的俯視圖。
50:內連部件
80:積體被動元件(IPD)
100A、100B:內連線結構
200:封裝
206:包封體
208、210、214:導電連接件
212:底部填料
250:核心基底

Claims (20)

  1. 一種方法,包括: 將多個內連線結構貼合至載體基底,其中所述多個內連線結構中的所述內連線結構個別地包括: 重佈線結構; 第一包封體,位於所述重佈線結構上;以及 通孔,延伸貫穿所述包封體以物理及電性連接至所述重佈線結構; 在所述多個內連線結構上沉積第二包封體,其中所述多個內連線結構中的相鄰內連線結構由所述第二包封體在側向上分隔開; 在沉積所述第二包封體之後,將第一核心基底貼合至所述多個內連線結構中的至少一個內連線結構中的所述重佈線結構,其中所述核心基底電性連接至所述重佈線結構;以及 將多個半導體元件貼合至所述多個內連線結構,其中所述多個半導體元件電性連接至所述多個內連線結構的所述通孔。
  2. 如請求項1所述的方法,其中所述多個內連線結構中的至少一個內連線結構更包括內連部件,其中所述內連部件被所述第一包封體圍繞,其中所述內連部件包括電性佈線。
  3. 如請求項2所述的方法,其中所述多個半導體元件電性連接至所述多個內連線結構的所述內連部件。
  4. 如請求項1所述的方法,其中所述多個內連線結構中的至少一個內連線結構更包括積體被動元件。
  5. 如請求項1所述的方法,其中所述多個半導體元件是在貼合所述第一核心基底之後被貼合。
  6. 如請求項1所述的方法,更包括將第二核心基底貼合至所述多個內連線結構中的至少一個內連線結構中的所述重佈線結構,其中所述核心基底電性連接至所述重佈線結構。
  7. 如請求項6所述的方法,更包括在所述第一核心基底與所述多個內連線結構之間沉積底部填料。
  8. 如請求項1所述的方法,更包括在所述載體基底上形成多個穿孔,其中所述第二包封體沉積在所述多個穿孔上。
  9. 如請求項1所述的方法,其中所述多個內連線結構中的第一內連線結構的所述通孔具有較所述多個內連線結構中的第二內連線結構的所述通孔大的寬度。
  10. 一種方法,包括: 形成第一內連線結構,包括: 在第一載體上形成第一通孔; 在所述第一通孔上方沉積第一模塑材料; 在所述第一通孔及所述第一模塑材料的第一側上形成重佈線結構,其中所述重佈線結構電性連接至所述第一通孔;以及 在所述第一通孔及所述第一模塑材料的與所述第一側相對的第二側上形成第二通孔,其中所述第二通孔電性連接至所述第一通孔; 形成連接結構,包括: 將所述第一內連線結構及第二內連線結構放置在第二載體上,以及 在所述第一內連線結構與所述第二內連線結構之間沉積第二模塑材料; 將第一核心基底連接至所述連接結構,其中所述第一核心基底連接至所述第一內連線結構的所述重佈線結構;以及 將第一半導體元件連接至所述連接結構,其中所述第一半導體元件連接至所述第一內連線結構的所述第二通孔。
  11. 如請求項10所述的方法,更包括對所述第二模塑材料、所述第一內連線結構及所述第二內連線結構執行平坦化製程,其中在執行平坦化製程之後,所述第二模塑材料與所述第一內連線結構的所述第二通孔共面。
  12. 如請求項10所述的方法,其中所述第二內連線結構包括重佈線結構,並且其中所述第一核心基底連接至所述第二內連線結構的所述重佈線結構。
  13. 如請求項10所述的方法,其中形成所述第一內連線結構更包括將積體被動元件放置在所述第一載體上,並在所述積體被動元件上方沉積所述第一模塑材料,其中所述第二通孔形成在所述積體被動元件上方並電性連接至所述積體被動元件。
  14. 如請求項10所述的方法,更包括將第二核心基底連接至所述連接結構,其中所述第二核心基底未連接至所述第一內連線結構的所述重佈線結構。
  15. 如請求項10所述的方法,其中所述第一核心基底的側壁突出超過所述連接結構的側壁。
  16. 如請求項10所述的方法,其中將所述第一核心基底連接至所述連接結構包括使用焊料凸塊將所述第一核心基底結合至所述連接結構。
  17. 一種封裝,包括: 基底,電性連接至多個內連線結構中的所述內連線結構的相應第一側,其中所述多個內連線結構中的所述內連線結構個別地至少部分地被包封體圍繞,其中所述多個內連線結構中的所述內連線結構個別地包括: 重佈線結構; 穿孔,位於所述重佈線結構上;以及 積體元件;以及 多個半導體元件,電性連接至所述多個內連線結構中的所述內連線結構的相應第二側,其中所述第二側與所述第一側相對。
  18. 如請求項17所述的封裝,其中所述積體元件是本地佈線結構。
  19. 如請求項17所述的封裝,其中所述積體元件藉由模塑材料與所述穿孔及所述重佈線結構隔離。
  20. 如請求項17所述的封裝,其中所述多個半導體元件中的至少一個半導體元件電性連接至所述多個內連線結構中的所述內連線結構中的至少兩者。
TW110117461A 2020-12-18 2021-05-14 半導體封裝及其製備方法 TWI820422B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063127299P 2020-12-18 2020-12-18
US63/127,299 2020-12-18
US17/205,383 US20220199461A1 (en) 2020-12-18 2021-03-18 Semiconductor package and method
US17/205,383 2021-03-18

Publications (2)

Publication Number Publication Date
TW202234537A true TW202234537A (zh) 2022-09-01
TWI820422B TWI820422B (zh) 2023-11-01

Family

ID=81045085

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110117461A TWI820422B (zh) 2020-12-18 2021-05-14 半導體封裝及其製備方法

Country Status (5)

Country Link
US (2) US20220199461A1 (zh)
KR (1) KR102579112B1 (zh)
CN (1) CN114334666A (zh)
DE (1) DE102021107792A1 (zh)
TW (1) TWI820422B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220117032A (ko) * 2021-02-16 2022-08-23 삼성전자주식회사 반도체 패키지
US12015002B2 (en) 2021-08-30 2024-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Chip structure and method for forming the same
US11688708B2 (en) * 2021-08-30 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Chip structure and method for forming the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9679863B2 (en) * 2011-09-23 2017-06-13 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming interconnect substrate for FO-WLCSP
US8922005B2 (en) * 2012-04-11 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for package on package devices with reversed stud bump through via interconnections
US9570322B2 (en) * 2014-11-26 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming same
US9786623B2 (en) 2015-03-17 2017-10-10 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming PoP semiconductor device with RDL over top package
US9768145B2 (en) * 2015-08-31 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming multi-die package structures including redistribution layers
US10797038B2 (en) * 2016-02-25 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and rework process for the same
US10319683B2 (en) * 2017-02-08 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stacked package-on-package structures
US11177201B2 (en) * 2017-11-15 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages including routing dies and methods of forming same
US10854552B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102019113476A1 (de) * 2018-08-30 2020-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-bauelement und verfahren zu dessen herstellung

Also Published As

Publication number Publication date
KR20220088271A (ko) 2022-06-27
US20220199461A1 (en) 2022-06-23
TWI820422B (zh) 2023-11-01
US20240021467A1 (en) 2024-01-18
KR102579112B1 (ko) 2023-09-14
DE102021107792A1 (de) 2022-06-23
CN114334666A (zh) 2022-04-12

Similar Documents

Publication Publication Date Title
US11616026B2 (en) Semiconductor device and method of manufacture
US11955442B2 (en) Semiconductor package and method
US11355463B2 (en) Semiconductor package and method
US11984372B2 (en) Integrated circuit package and method
TWI820422B (zh) 半導體封裝及其製備方法
TWI771870B (zh) 半導體封裝及其形成方法
EP3945547A1 (en) Heat dissipation in semiconductor packages
US20230386866A1 (en) Semiconductor Package and Method of Forming Thereof
US20230386919A1 (en) Semiconductor package and method comprising formation of redistribution structure and interconnecting die
TWI824395B (zh) 封裝結構及其製造方法
US11854994B2 (en) Redistribution structure for integrated circuit package and method of forming same
US20240145433A1 (en) Integrated circuit package and method
US11444034B2 (en) Redistribution structure for integrated circuit package and method of forming same
US20230387039A1 (en) Semicondcutor packages and methods of forming thereof
US20230420331A1 (en) Semiconductor package and method