CN112447646B - 半导体器件、封装件及其形成方法 - Google Patents

半导体器件、封装件及其形成方法 Download PDF

Info

Publication number
CN112447646B
CN112447646B CN202010896773.8A CN202010896773A CN112447646B CN 112447646 B CN112447646 B CN 112447646B CN 202010896773 A CN202010896773 A CN 202010896773A CN 112447646 B CN112447646 B CN 112447646B
Authority
CN
China
Prior art keywords
layer
pad
bond pad
dielectric layer
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010896773.8A
Other languages
English (en)
Other versions
CN112447646A (zh
Inventor
陈明发
叶松峯
陈宪伟
陈洁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112447646A publication Critical patent/CN112447646A/zh
Application granted granted Critical
Publication of CN112447646B publication Critical patent/CN112447646B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4824Pads with extended contours, e.g. grid structure, branch structure, finger structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02333Structure of the redistribution layers being a bump
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05547Structure comprising a core and a coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/08057Shape in side view
    • H01L2224/08058Shape in side view being non uniform along the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/08235Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bonding area connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0951Function
    • H01L2224/09515Bonding areas having different functions
    • H01L2224/09517Bonding areas having different functions including bonding areas providing primarily mechanical support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1023All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the support being an insulating substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1041Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Wire Bonding (AREA)
  • Die Bonding (AREA)

Abstract

封装件包括第一管芯,该第一管芯包括第一金属化层,位于第一金属化层上的一个或多个第一接合焊盘通孔,其中,第一阻挡层在每个第一接合焊盘通孔和第一金属化层之间延伸横跨第一金属化层,位于,以及位于一个或多个第一接合焊盘通孔上的一个或多个第一接合焊盘,其中,第二阻挡层在第一接合焊盘和第一接合焊盘通孔之间延伸横跨每个第一接合焊盘通孔,以及包括一个或多个第二接合焊盘的第二管芯,其中,第二接合焊盘接合至第一管芯的第一接合焊盘。本申请的实施例涉及半导体器件和形成封装件的方法。

Description

半导体器件、封装件及其形成方法
技术领域
本发明的实施例涉及半导体器件、封装件及其形成方法。
背景技术
在晶圆至晶圆的接合技术中,已经开发出多种方法来将两个封装组件(诸如晶圆)接合在一起。一些晶圆接合方法包括熔融接合、共晶接合、直接金属接合、混合接合等。在熔融接合中,将晶圆的氧化物表面接合至另一晶圆的氧化物表面或硅表面。在共晶接合中,将两种共晶材料放置在一起,并且施加高压和高温。共晶材料因此熔化。当熔化的共晶材料凝固时,晶圆接合在一起。在直接金属至金属的接合中,两个金属焊盘在升高的温度下彼此挤压,并且金属焊盘的相互扩散使得金属焊盘接合。在混合接合中,两个晶圆的金属焊盘通过直接金属至金属接合而彼此接合,并且两个晶圆中的一个的氧化物表面接合至另一晶圆的氧化物表面或硅表面。
发明内容
本发明的一些实施例涉及一种半导体器件,包括:互连结构,位于半导体衬底上方,所述互连结构包括多个第一导电焊盘;第一介电层,位于所述互连结构上方;多个接合焊盘通孔,位于所述第一介电层内,所述多个接合焊盘通孔中的每个接合焊盘通孔包括:第一阻挡层,沿着所述第一介电层的侧壁延伸并且位于所述多个第一导电焊盘中的第一导电焊盘上方;以及第一导电材料,位于所述第一阻挡层上方,其中,所述第一导电材料的顶面和所述第一阻挡层的顶面共面;第二介电层,位于所述第一介电层上方;以及多个第一接合焊盘,位于所述第二介电层内,所述多个第一接合焊盘中的每个第一接合焊盘包括:第二阻挡层,沿着所述第二介电层的侧壁延伸并且位于所述第一导电材料和所述多个接合焊盘通孔中的第一接合焊盘通孔的所述第一阻挡层上,其中,所述第二阻挡层完全覆盖所述第一导电材料的顶面和所述第一接合焊盘通孔的所述第一阻挡层的顶面;第二导电材料,位于所述第二阻挡层上方。
本发明的另一些实施例涉及一种封装件,包括:第一管芯,包括:第一金属化层;一个或多个第一接合焊盘通孔,位于所述第一金属化层上,其中,第一阻挡层在每个第一接合焊盘通孔和所述第一金属化层之间延伸横跨所述第一金属化层;以及一个或多个第一接合焊盘,位于所述一个或多个第一接合焊盘通孔上,其中,第二阻挡层在所述第一接合焊盘和所述第一接合焊盘通孔之间延伸横跨每个第一接合焊盘通孔;以及第二管芯,包括一个或多个第二接合焊盘,其中,第二接合焊盘接合至所述第一管芯的第一接合焊盘。
本发明的又一些实施例涉及一种形成封装件的方法,包括:在半导体衬底的顶面上形成互连结构,所述互连结构包括第一导电焊盘;在所述互连结构上方形成第一介电层;蚀刻所述第一介电层以形成暴露所述第一导电焊盘的第一开口;在所述第一介电层中的所述第一开口内沉积第一阻挡层;在所述第一开口内和所述第一阻挡层上沉积第一导电材料;在所述第一介电层上方形成第二介电层;蚀刻所述第二介电层以形成暴露所述第一导电材料的第二开口;在所述第二介电层中的所述第二开口内沉积第二阻挡层;在所述第二开口内和所述第二阻挡层上沉积第二导电材料;以及将半导体管芯接合至所述第二介电层,所述半导体管芯包括接合层和接合焊盘,其中,所述接合将所述半导体管芯的接合层接合至所述第二介电层,并且将所述半导体管芯的接合焊盘接合至所述第二导电材料。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1至图9示出了根据一些实施例的用于形成器件结构的工艺中的中间步骤的截面图。
图10至图17示出了根据一些实施例的用于形成管芯结构的工艺中的中间步骤的截面图。
图18A、图18B、图19A、图19B、图20A、图20B和图21示出了根据一些实施例的具有管芯结构的封装件的截面图。
图22至图26示出了根据一些实施例的用于形成封装结构的工艺中的中间步骤的截面图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为了便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。器件可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
根据一些实施例,提供了接合结构和方法。在一系列处理步骤中,在金属焊盘上方形成接合焊盘通孔(BPV),并且然后在后续一系列处理步骤中,在BPV上方形成接合焊盘。通过分别形成BPV和接合焊盘,连接至BPV的金属焊盘可以更近的形成在一起和/或具有更小的面积。另外,BPV或接合焊盘也可以更近的形成在一起和/或具有更小的面积。这可以减小管芯或封装件的尺寸。两个接合管芯的对应接合焊盘可以形成为具有不同的面积,从而使得两个管芯之间的未对准对相应接合焊盘之间的连接处的接触电阻具有较小影响或没有影响。
图1至图9示出了根据一些实施例的形成器件结构100(见图9)的中间阶段的截面图。图1示出了根据一些实施例的衬底102。在图1至图9中,根据一些实施例,多个器件结构100形成在单个衬底102上,并且然后分割以形成单独的器件结构100。图1至图8中标记为“100”的区域表示形成图9所示的器件结构100的区域,而标记为“104”的区域表示相邻的器件结构100之间的划线区域104。
衬底102可以是可以掺杂(例如,用p型或n型掺杂物质)或不掺杂的半导体衬底,诸如体半导体、绝缘体上半导体(SOI)衬底、半导体晶圆等。通常,SOI衬底包括形成在绝缘层上的半导体材料层。绝缘层可以是例如掩埋氧化物(BOX)层、氧化硅层等。绝缘层提供在通常为硅衬底或玻璃衬底的衬底上。也可以使用其他衬底,诸如多层或梯度衬底。在一些实施例中,衬底的半导体材料可以包括硅;锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或他们的组合。
在一些实施例中,衬底102及形成在其上的部件被用于形成器件管芯、集成电路管芯等。在这样的实施例中,集成电路器件可以形成在衬底102的顶面上。示例性集成电路器件可以包括互补金属氧化物半导体(CMOS)晶体管、鳍式场效应晶体管(FinFET)、电阻器、电容器、二极管等或他们的组合。这里没有示出集成电路器件的细节。在其他实施例中,衬底102用于形成中介层结构。在这样的实施例中,没有有源器件(诸如晶体管)形成在衬底102上。无源器件(诸如电容器、电阻器、电感器等)可以形成在衬底102中。在一些实施例中,衬底102也可以是介电衬底,其中衬底102是中介结构的一部分。在一些实施例中,可以形成延伸穿过衬底102的通孔(未示出),以互连位于衬底102的相对侧上的组件。
在图2中,根据一些实施例,在衬底102上方形成互连结构108。互连结构108提供在衬底102中形成的器件之间的布线和电连接,并且可以是例如再分布结构等。互连结构108可以包括多个绝缘层110,其可以是金属间介电(IMD)层,下面将更详细地描述。绝缘层110中的每个包括一个或多个导电部件113,其可以是形成在金属化层中的金属线和/或通孔。在其他实施例中,金属线可以是例如再分布层。导电部件113可以通过接触件(图中未示出)电连接至衬底102的有源和/或无源器件。
形成在互连结构108的最顶部绝缘层110中的导电部件113的一些部分可以形成为具有比互连结构108内的其他导电部件113相对更大的面积。形成在最顶部绝缘层110中的互连结构108的导电部件在图2中分别标记为金属焊盘112。金属焊盘112可以用于将随后形成的导电部件(例如,导电焊盘118、接合焊盘通孔(BPV)128等)连接至互连结构108。在一些实施例中,最顶部绝缘层110的导电部件还可以包括金属线或通孔,其在图2中未单独示出。金属焊盘112可以形成为具有在约2μm和约10μm之间的宽度W1或具有在约4μm2和约100μm2之间的面积。相邻的金属焊盘112可以分隔开约2μm和约20μm之间的距离D1。其他尺寸或距离也是可能的。在一些情况下,本文描述的技术可以允许金属焊盘112具有更小的宽度W1或更小的面积。在一些情况下,本文描述的技术可以允许形成分隔开更小距离D1的金属焊盘112。通过形成更小或更接近的金属焊盘112,可以减小器件结构100的尺寸(例如,“覆盖区”)。另外,可以减小部件之间的布线距离,这可以改善器件结构100的更高速度操作。
在一些实施例中,绝缘层110可以由具有低于约3.0的k值的低k介电材料形成。绝缘层110可以由具有小于2.5的k值的超低k(ELK)介电材料形成。在一些实施例中,绝缘层110可以由含氧和/或含碳的低k介电材料、氢倍半硅氧烷(HSQ)、甲基倍半硅氧烷(MSQ)等或他们的组合形成。在一些实施例中,绝缘层110中的一些或全部由非低k介电材料(诸如氧化硅、碳化硅(SiC)、碳氮化硅(SiCN)、碳氮氧化硅(SiOCN)等)形成。在一些实施例中,在绝缘层110之间形成可以由碳化硅、氮化硅等形成的蚀刻停止层(未示出)。在一些实施例中,绝缘层110由多孔材料(诸如SiOCN、SiCN、SiOC、SiOCH等)形成,并且可以通过旋涂或沉积工艺(诸如等离子体增强化学汽相沉积(PECVD)、CVD、PVD等)形成。在一些实施例中,互连结构108可以包括一个或多个其他类型的层,诸如扩散阻挡层(未示出)。
在一些实施例中,互连结构108可以使用单和/或双重镶嵌工艺、先通孔工艺或先金属工艺形成。在实施例中,形成绝缘层110,并且开口(未示出)使用可接受的光刻和蚀刻技术形成在其中。扩散阻挡层(未示出)可以形成在开口中,并且可以包括诸如TaN、Ta、TiN、Ti、CoW等的材料,并且可以使用沉积工艺(诸如CVD、原子层沉积(ALD)等)形成在开口中。导电材料可以由铜、铝、镍、钨、钴、银、他们的组合等形成在开口中,并且可以使用电化学镀工艺、CVD、ALD、PVD等或他们的组合形成在开口中的扩散阻挡层上方。在形成导电材料之后,过量的导电材料可以使用平坦化工艺(诸如CMP)去除,从而在相应的绝缘层110的开口中留下导电部件113。然后可以重复该工艺以在其中形成额外的绝缘层110和导电部件113。在一些实施例中,最顶部绝缘层110和在其中形成的金属焊盘112可以形成为具有大于互连结构108的其他绝缘层110的厚度的厚度。在一些实施例中,一个或多个最顶部导电部件是与衬底102电隔离的伪金属线或伪金属焊盘112。
在图3中,在互连结构108上方形成钝化层114,并且在钝化层114中形成一个或多个开口。钝化层114可以包括一种或多种材料的一层或多层。例如,钝化层114可以包括氮化硅、氧化硅、氮氧化硅等或他们的组合的一层或多层。钝化层114可以使用合适的工艺(诸如CVD、PECVD、PVD、ALD等或他们的组合)形成。在一些实施例中,钝化层114可以形成为具有大于最顶部绝缘层110的厚度的厚度。钝化层114中的开口可以使用合适的光刻和蚀刻工艺形成。例如,光刻胶可以形成在钝化层114上方并且被图案化,并且然后将图案化的光刻胶用作蚀刻掩模。钝化层114可以使用合适的湿蚀刻工艺和/或干蚀刻工艺蚀刻。形成开口以暴露金属焊盘112的部分以用于电连接。
在图4中,根据一些实施例,在钝化层114上方形成导电焊盘118。一个或多个导电焊盘118可以形成为延伸穿过钝化层114中的开口,以制成与互连结构108的一个或多个金属焊盘112的电连接。在一些实施例中,导电焊盘118可以通过首先沉积导电材料(诸如铝)的毯式层形成。例如,可以使用CVD、PVD等在钝化层114、开口和金属焊盘112上方沉积铝层。然后,可以在铝层上方形成光刻胶层(未单独示出),并且可以蚀刻铝层以形成导电焊盘118。可以将以此方式由铝形成的导电焊盘118称为“铝焊盘”。
在其他实施例中,导电焊盘118通过首先在钝化层114和开口上方形成晶种层形成。在一些实施例中,晶种层是包括一层或多层的金属层,其可以由不同的材料形成。晶种层可以使用例如PVD等形成。光刻胶形成在晶种层上并且被图案化,并且在光刻胶的开口中和晶种层的暴露部分上形成导电材料。在一些实施例中,导电材料可以使用镀工艺(诸如使用电镀或化学镀工艺等)形成。导电材料可以包括一种或多种材料,诸如铜、钛、钨、金、钴等或他们的组合。然后使用例如合适的灰化或剥离工艺(诸如使用氧等离子体等)去除光刻胶和晶种层的其上未形成导电材料的部分。一旦去除光刻胶,就可以使用可接受的蚀刻工艺(诸如湿蚀刻工艺或干蚀刻工艺)去除晶种层剩余的暴露部分。晶种层的剩余部分和导电材料形成导电焊盘118。导电焊盘118可以在其他实施例中使用其他技术形成,并且所有这样的技术都被认为在本发明的范围内。
在一些实施例中,在实施额外的处理步骤之前,电连接至互连结构108的导电焊盘118可以用作测试焊盘。例如,导电焊盘118可以作为晶圆允收测试、电路测试、已知良好管芯(KGD)测试等的一部分进行探测。可以实施探测以验证衬底102的有源或无源器件的功能或衬底102或互连结构108(例如,导电部件113)内的相应电连接。探测可以通过使探针119接触至导电焊盘118实施。探针119可以是探针卡的一部分,该探针卡包括例如可以连接至测试设备的多个探针119。
在一些实施例中,导电焊盘118的导电材料可以与金属焊盘112的导电材料不同。例如,导电焊盘118可以是铝,而金属焊盘112可以是铜,但是可以使用其他导电材料。在一些实施例中,导电焊盘118可以具有在约2μm和约30μm之间的宽度W2或在约20μm和约100μm之间的长度(例如,垂直于该宽度)。在一些实施例中,导电焊盘118可以与相邻的金属焊盘112分隔开约2μm和约30μm之间的距离D2。本发明中描述的实施例可以允许导电焊盘118和相邻金属焊盘112之间的更小分隔距离,而不增加引起诸如短路的处理缺陷的可能性。以这种方式,可以减小器件结构100的尺寸而不会降低良率。
转至图5,在钝化层114和导电焊盘118上方形成介电层122。介电层122可以由一种或多种介电材料(诸如氧化硅、氮化硅、氮氧化硅、碳氮化硅、SiOC、SiOCH、SiCH等或他们的组合)的一层或多层形成。在一些实施例中,介电层122可以由磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂的磷硅酸盐玻璃(BPSG)、氟掺杂的硅酸盐玻璃(FSG)、正硅酸乙酯(TEOS)等或他们的组合形成。介电层122可以使用沉积工艺(诸如CVD、PECVD、PVD、ALD等或他们的组合)形成。介电层122可以形成为具有大于导电焊盘118的厚度的厚度,使得介电层122的材料横向地围绕导电焊盘118,并且使得可以在不暴露导电焊盘118的情况下使介电层122平坦化(见下文)。
在图6中,根据一些实施例,在介电层122中形成开口124。开口124暴露金属焊盘112,以允许随后形成的接合焊盘通孔(BPV)128通过金属焊盘112制成至互连结构108的电连接。在一些实施例中,开口124暴露导电焊盘118,并且BPV 128通过导电焊盘118制成至互连结构108的电连接(例如,见图20A至图21)。开口124可以使用可接受的光刻和蚀刻技术形成。例如,光刻工艺可以包括在介电层122上方形成光刻胶(未示出),利用对应于开口124的开口图案化光刻胶,穿过介电层122和钝化层114延伸开口124以暴露金属焊盘112,以及然后去除光刻胶。
转至图7,根据一些实施例,在开口124中形成接合焊盘通孔(BPV)128。BPV 128可以具有与形成在其中的开口124类似的尺寸,并且可以具有类似的形状(例如,具有渐缩的轮廓)。在一些实施例中,BPV 128的形成包括首先在开口124内形成第一阻挡层127。第一阻挡层127可以是例如衬垫、扩散阻挡层、粘合层等。第一阻挡层127可以包括含钛、氮化钛、钽、氮化钽等或他们的组合的一层或多层。第一阻挡层127可以在介电层122上方和开口124内沉积为毯式层。第一阻挡层127可以使用沉积工艺(诸如CVD、PECVD、PVD等或他们的组合)形成。
BPV 128的形成可以包括在第一阻挡层127上方沉积导电材料。导电材料可以包括钴、铜、铜合金、钛、银、金、钨、铝、镍等或他们的组合。BPV 128的导电材料可以使用沉积工艺(诸如CVD、PECVD、PVD等或他们的组合)形成。在一些实施例中,BPV 128的导电材料通过在第一阻挡层127上方沉积晶种层(未示出)形成,该晶种层可以包括铜、铜合金、钛等,并且然后使用例如镀工艺、化学镀工艺等填充开口124的剩余部分。
在形成导电材料之后,可以实施平坦化工艺(诸如研磨工艺、化学机械抛光(CMP)工艺等)以从介电层122的表面去除过量的材料。剩余的第一阻挡层127和导电材料因此形成BPV 128。以这种方式,BPV 128可以使用单镶嵌工艺形成。在一些实施例中,可以形成一些“伪”BPV 128(未示出)而不具有至金属焊盘112的电连接。在一些情况下,在去除过量的材料的平坦化步骤之后,伪BPV 128可以减少不均匀负载并且改善表面平坦度。
BPV 128可以具有在约1μm和约5μm之间的宽度W3,但是其他宽度也是可能的。在一些实施例中,BPV 128可以具有渐缩的轮廓,诸如具有在约1μm和约5μm之间的上部宽度W3A和在约0.5μm和约4μm之间的下部宽度W3B。BPV 128的宽度W3可以在其相关联的金属焊盘112的宽度W1(见图2)的约50%和约95%之间。BPV 128可以形成为使得BPV 128的侧壁和其关联的金属焊盘112的相邻侧壁之间的横向距离D3在约1μm和约5μm之间,但是其他距离也是可能的。在一些情况下,通过形成与接合焊盘316(见下面的图17)分隔开的BPV 128,金属焊盘112可以形成为具有更小的宽度W1,该宽度W1的尺寸更接近于BPV 128的宽度W3。这可以允许减小部件(诸如导电焊盘118、金属焊盘112、BPV 128和/或接合焊盘316(见图17))之间的横向间隔。另外,可以减小距离D3,从而允许BPV 128形成为更靠近金属焊盘112的边缘。
转至图8,在介电层122上方形成接合层126。接合层126可以由一种或多种介电材料的一层或多层形成,并且可以包括含硅材料,诸如氧化硅。在一些实施例中,接合层126可以包括一层或多层其他材料,诸如氮化硅、氧氮化硅、碳氮化硅、SiOC、SiOCH、SiCH等或他们的组合。接合层126可以使用沉积工艺(诸如CVD、PECVD、PVD、ALD等或他们的组合)形成。在一些实施例中,接合层126包括与介电层122不同的材料。
在图9中,沿着划线区域104实施分割工艺以分隔相邻的器件结构100。分割工艺可以包括切割工艺、锯切工艺、激光工艺等或他们的组合。在一些实施例中,在随后的工艺步骤中使用被探测并且发现为已知良好管芯(KGD)(以上图4中所描述的)的分割的器件结构100,以形成管芯结构300(见图15)。
图10至图17示出了根据一些实施例的结合器件结构100的管芯结构300(见图17)的形成中的中间阶段的截面图。在图10中,根据一些实施例,器件结构100接合至载体202。载体202可以是硅衬底(例如,硅晶圆)、玻璃衬底、有机衬底(例如,面板)等。在一些实施例中,可以在载体202上形成一层或多层(诸如氧化物层或蚀刻停止层),其在图10至图13中示为层204。在一些实施例中,在载体202上形成介电层208,并且可以在介电层208内形成可选的对准部件210。在一些实施例中,介电层208可以由氧化硅、PSG、BSG、BPSG、FSG、氮化硅等或他们的组合形成。介电层208可以使用沉积工艺(诸如CVD、PECVD、PVD等或他们的组合)形成。在一些实施例中,介电层208由比随后形成的接合层212更软的材料形成,并且可以用作用于吸收应力的缓冲层。根据一些实施例,对准部件210是形成在介电层208中的金属部件。对准部件210可以用作对准标记,以对准随后的放置(例如,使用拾取和放置工艺)和器件结构100的接合。对准部件210可以例如使用镶嵌工艺或另一合适的工艺形成。
接合层212可以然后形成在介电层208上方。接合层212可以由一种或多种介电材料(诸如氧化硅等)的一层或多层形成。接合层212可以使用沉积工艺(诸如CVD、PECVD、PVD、ALD等或他们的组合)形成。接合层212可以包括与接合层126相同的材料或与接合层126不同的材料。载体202、介电层208和接合层212的组合在本文中称为第一载体结构250。
仍然参照图10,使用例如拾取和放置工艺将器件结构100放置在第一载体结构250上。对准部件210可以在放置期间用于对准器件结构100。将器件结构100放置为使得接合层126和接合层212接触。可以在放置之前对接合层126或接合层212实施等离子体清洁工艺或湿化学清洁工艺,以活化表面。放置之后,使用直接接合(例如,“熔融接合”或“电介质至电介质接合”)将器件结构100的接合层126接合至接合层212,这可以例如在接合层126和接合层212之间形成Si-O-Si接合。在一些实施例中,接合层126和接合层212可以彼此挤压以促进接合工艺。可以在室温下(例如,在从约21℃至约25℃的温度下)实施接合工艺,但是可以使用更高的温度。在一些实施例中,在接合之后实施退火,这可以加强接合层126和接合层212之间的接合。
转至图11,根据一些实施例,围绕器件结构100形成介电区域214(也称为“间隙填充介电”区域)。在一些实施例中,介电区域214可以由氧化硅、PSG、BSG、BPSG、FSG、氮化硅等或他们的组合的一层或多层形成。介电区域214的介电材料可以使用沉积工艺(诸如CVD、PECVD、PVD等或他们的组合)形成。在一些实施例中,介电材料可以通过分配可流动介电材料(例如,可流动的氧化物)形成,并且然后固化可流动介电材料。可流动介电材料可以使用层压工艺、旋涂工艺等分配。在形成介电材料之后,可以实施平坦化工艺(例如,CMP或研磨工艺)以从器件结构100的衬底102上方去除过量的介电材料,从而形成介电区域214。在实施平坦化工艺之后,介电区域214和衬底102可以具有水平(例如,共面)表面。在一些实施例中,平坦化工艺还减薄衬底102。
转至图12,在介电区域214和衬底102上形成介电层220。在一些实施例中,在介电层220内形成可选的对准部件222。在一些实施例中,介电层220可以由氧化硅、PSG、BSG、BPSG、FSG、氮化硅等或他们的组合形成。介电层220可以使用沉积工艺(诸如CVD、PECVD、PVD等或他们的组合)形成。在一些实施例中,介电层220由比随后形成的接合层224更软的材料形成,并且可以用作用于吸收应力的缓冲层。根据一些实施例,对准部件222是形成在介电层220中的金属部件。对准部件222可以例如使用镶嵌工艺或另一合适的工艺形成。
然后,可以在介电层220上方形成接合层224。接合层224可以由一种或多种介电材料(诸如氧化硅等)的一层或多层形成。接合层224可以由类似的材料形成,或使用与上述接合层126类似的技术形成。
转至图13,根据一些实施例,将结构翻转并且接合至第二载体结构350,并且然后去除第一载体结构250。第二载体结构350可以包括例如形成在载体302上方的接合层306。载体302可以是硅衬底(例如,硅晶圆)、玻璃衬底、有机衬底(例如,面板)等。接合层306可以由一种或多种介电材料的一层或多层形成,并且可以包括含硅材料,诸如氧化硅、氮化硅、氮氧化硅、碳氮化硅、SiOC、SiOCH、SiCH等或他们的组合。接合层306可以使用沉积工艺(诸如CVD、PECVD、PVD、ALD等或他们的组合)形成。接合层306可以包括与接合层224相同的材料或与接合层224不同的材料。
仍然参照图13,根据一些实施例,将结构翻转,并且将接合层224放置在第二载体结构350的接合层306上。可以在放置之前对接合层224或接合层306实施等离子体清洁工艺或湿化学清洁工艺,以活化表面。在放置之后,使用直接接合(例如,“熔融接合”或“电介质至电介质接合”)将接合层224接合至接合层306,这可以例如在接合层224和接合层306之间形成Si-O-Si接合。在一些实施例中,可以将接合层224和接合层306彼此挤压以促进接合工艺。可以在室温下(例如,在从约21℃至约25℃的温度下)实施接合工艺,但是可以使用更高的温度。在一些实施例中,在接合之后实施退火,这可以加强接合层224和接合层306之间的接合。
在图14中,根据一些实施例,去除第一载体结构250和接合层126。在一些实施例中,可以实施平坦化工艺(例如,CMP或研磨工艺)以去除载体202、介电层208、接合层212和接合层126。如图14所示,平坦化工艺可以暴露BPV 128和介电层122。介电区域214的部分也通过平坦化工艺去除,从而使得剩余的介电区域214的表面与介电层122和BPV 128齐平。在一些实施例中,在实施平坦化工艺之前,可以使用一个或多个蚀刻工艺(例如,干蚀刻工艺或湿蚀刻工艺)去除第一载体结构250的部分。在一些实施例中,蚀刻工艺可以通过层(诸如载体202(如果存在)内的接合层212、介电层208或蚀刻停止层)停止。
转至图15,接合层312形成在介电区域214、介电层122和BPV 128上方。接合层312可以由一种或多种介电材料(诸如氧化硅等)的一层或多层形成。接合层312可以由类似的材料或使用与以上针对接合层126或接合层224所述的类似的技术形成。在一些实施例中,接合层312形成为具有在约0.2μm和约1μm之间的厚度。接合层312的厚度可以确定随后形成的接合焊盘316的厚度(见图17)。
在图16中,根据一些实施例,开口314形成在接合层312中。开口314暴露BPV 128,以允许随后形成的接合焊盘316穿过BPV 128电连接至互连结构108。开口314可以使用可接受的光刻和蚀刻技术形成。例如,光刻工艺可以包括在接合层312上方形成光刻胶(未示出),利用对应于开口314的开口图案化光刻胶,穿过接合层312延伸开口314以暴露BPV128,并且然后去除光刻胶。
转至图17,根据一些实施例,接合焊盘316形成在开口314中以形成管芯结构300。在一些实施例中,接合焊盘316的形成包括首先在开口314内形成第二阻挡层315。第二阻挡层315可以是例如衬垫、扩散阻挡层、粘合层等。第二阻挡层315可以包括一个或多个包含钛、氮化钛、钽、氮化钽等或他们的组合的层。第二阻挡层315可以作为毯式层沉积在接合层312上方和开口314内。第二阻挡层315可以使用沉积工艺(诸如CVD、PECVD、PVD等或他们的组合)形成。在一些实施例中,第二阻挡层315可以由类似于第一阻挡层127的材料形成。如图17所示,因为BPV 128在接合焊盘316的形成之前在相应的工艺步骤中形成,所以每个第二阻挡层315在BPV 218的顶部上方延伸,其可以包括在第一阻挡层127的顶面上方延伸。以这种方式,BPV 128的第一阻挡层127和接合焊盘316的第二阻挡层315分别形成,而不是BPV128和接合焊盘316共享在单个步骤中形成的单个、连续阻挡层。
接合焊盘316的形成可以包括在第二阻挡层315上方沉积导电材料。导电材料可以包括例如铜或铜合金。导电材料可以包括其他材料,诸如钛、银、金、钨、铝、镍、钴等或他们的组合。接合焊盘316的导电材料可以使用沉积工艺(诸如CVD、PECVD、PVD或他们的组合)形成。在一些实施例中,接合焊盘316的导电材料通过在第二阻挡层315上方沉积晶种层(未示出)形成,该晶种层可以包括铜、铜合金、钛等,并且然后使用例如镀工艺、化学镀工艺等填充开口314的剩余的部分。在一些实施例中,接合焊盘316可以由类似于BPV 128的材料形成。
在形成导电材料之后,可以实施平坦化工艺(诸如研磨工艺、化学机械抛光(CMP)工艺等)以从接合层312的表面去除过量的材料。剩余的第二阻挡层315和导电材料因此形成接合焊盘316。以这种方式,接合焊盘316可以使用单镶嵌工艺形成。在一些实施例中,一些“伪”接合焊盘316(未示出)可以在不具有至BPV 128和/或金属焊盘112的电连接的情况下形成。在一些情况下,在去除过量的材料的平坦化步骤之后,伪接合焊盘316可以减少不均匀负载并且改善表面平坦度。
在一些实施例中,接合焊盘316可以具有在约0.2μm和约5μm之间的宽度W4,但是其他宽度也是可能的。在一些实施例中,接合焊盘316的宽度W4可以在其相关联的BPV 128的宽度W3(见图7)的约120%和约200%之间。在一些实施例中,接合焊盘316可以形成为使得接合焊盘316的侧壁与其关联的BPV 128的相邻侧壁之间的横向距离D4在约0.5μm和约2μm之间,但是其他距离也是可能的。在一些实施例中,相邻接合焊盘316之间的横向分隔可以是在约2μm和约7μm之间的距离D5。在一些情况下,通过如本文所述形成与接合焊盘316分隔开的BPV 128,接合焊盘316可以形成为具有更小的分隔距离D5。在一些情况下,通过在与接合焊盘316不同的工艺步骤中形成BPV 128,可以减小部件(诸如导电焊盘118、金属焊盘112、BPV 128和/或接合焊盘316)之间的横向间隔(例如,间距)以及这种部件的尺寸,而不会增加电短路或其他工艺缺陷的风险。
转至图18A至图18B,根据一些实施例,封装件500示出为包括接合在一起的第一管芯结构300和第二管芯结构400。图18B示出了类似于图18A所示的封装件500,但是出于说明性目的,图18B中的一些部件示出为具有比图18A中更大的对准偏移。封装件500的第一管芯结构300可以类似于针对图17描述的管芯结构300。在一些实施例中,第二管芯结构400包括介电区域402(也称为“间隙填充介电”区域)、器件结构440、接合层412和一个或多个接合焊盘410。图18A至图18B所示的第二管芯结构400是实例,并且在不脱离本发明的范围的情况下,第二管芯结构400或其组件(例如,器件结构440)可以是除所示之外的其他结构或其他类型的结构。
器件结构440可以类似于先前描述的器件结构100。例如,器件结构440可以包括类似于衬底102的衬底442,其可以包括在其上形成的集成电路器件。在一些实施例中,穿过衬底的通孔(TSV)446可以延伸穿过衬底442。TSV 446可以通过例如使用合适的光刻和蚀刻工艺通过形成延伸穿过衬底442的开口形成。开口可以然后通过导电材料(诸如铜等)填充,该导电材料可以使用合适的工艺(诸如电镀工艺)形成。在一些实施例中,器件结构440包括金属焊盘444或导电焊盘450,其可以分别类似于器件结构100的金属焊盘112或导电焊盘118。
介电区域402可以类似于先前描述的介电区域214,诸如由氧化硅等形成。接合层412可以由一种或多种介电材料(诸如氧化硅等)的一层或多层形成。接合层412可以由类似的材料形成,或使用与上述接合层126、接合层224或接合层312类似的技术形成。接合焊盘410可以由导电材料(诸如铜、铜合金等)形成。接合焊盘410还可包括阻挡层(未示出)。在一些实施例中,接合焊盘410可以由类似的材料形成,或者可以使用如上所述的接合焊盘316的类似技术形成。
在一些实施例中,接合焊盘410可以具有在约1μm和约5μm之间的宽度W5,但是其他宽度也是可能的。在一些实施例中,接合焊盘410的宽度W5可以在其相关联的接合焊盘316的宽度W4的约95%和约150%之间。以这种方式,接合焊盘410的宽度W5可以大于接合焊盘316的宽度W4。在将接合焊盘410接合至接合焊盘316之后,接合焊盘410可以横向延伸超过接合焊盘316,如图18A和图18B所示。图18A示出了接合焊盘410在接合焊盘316上大致居中,但是在其他情况下,接合焊盘410和接合焊盘316之间可能存在一些横向未对准。未对准可能是由于例如在第二管芯结构400在第一管芯结构300上的放置期间的拾取和放置重叠移位。例如,在图18B中,接合焊盘410示为与接合焊盘316未对准距离S1。距离S1对应于接合焊盘410的中心(例如,在宽度W5的一半处)和接合焊盘316的中心(例如,在宽度W4的一半处)之间的横向偏移。在一些情况下,如果在第一管芯结构300和第二管芯结构400之间存在任何未对准,则形成比接合焊盘316宽的接合焊盘410可以增加将接合焊盘316的整个顶面接合至接合焊盘410的可能性。在一些情况下,通过接合焊盘410的宽度W5与接合焊盘316的宽度W4之间的差来给出保持接合焊盘316的整个表面接合的最大未对准距离(例如,S1)。将接合焊盘316的整个顶面接合可以减小接合焊盘316和接合焊盘410之间的接触电阻,并且因此可以改善封装件500的电性能。以这种方式,可以通过形成具有大于接合焊盘316的宽度的接合焊盘410来减小由于未对准而导致的不良影响。
其他未对准也是可能的。例如,图18A示出了接合焊盘316在BPV 128上大致居中,但是在其他情况下,接合焊盘316和BPV 128之间可能存在一些横向未对准。例如,在图18B中,接合焊盘316示出为与BPV 128未对准距离S2。距离S2对应于接合焊盘316的中心(例如,在宽度W4的一半处)和BPV 128的中心(例如,在宽度W3的一半处)之间的横向偏移。在一些情况下,如果在接合焊盘316和BPV 128之间存在任何未对准,则形成比BPV 128宽的接合焊盘316可以增加BPV 128的整个顶面被上面的接合焊盘316覆盖的可能性。在一些情况下,通过接合焊盘316的宽度W4和BPV 128的宽度W3之间的差来给出BPV 128的整个顶面保持被上面的接合焊盘316覆盖的最大未对准距离(例如,S2)。覆盖BPV 128的整个顶面可以减小接合焊盘316和BPV 128之间的接触电阻,并且因此可以改善封装件500的电性能。以这种方式,可以通过形成具有大于BPV 128的宽度的接合焊盘316来减少由于未对准引起的不期望的影响。
第二管芯结构400还可包括再分布结构452,再分布结构452包括介电层460和462以及金属化图案454和456。再分布结构452可以设计为连接各个部件,诸如器件结构440和任何通孔408(在下面描述)以形成功能电路。金属化图案也可以称为再分布层或再分布线。与所示相比,更多或更少的介电层和金属化图案可以形成在再分布结构452中。如果要形成更少的介电层和金属化图案,则可以省略下面讨论的步骤和工艺。如果要形成更多的介电层和金属化图案,则可以重复下面讨论的步骤和工艺。
作为形成再分布结构452的实例,可以首先形成金属化图案454。为了形成金属化图案454,在器件结构400和介电区域402上方形成晶种层。在一些实施例中,晶种层是金属层,其可以是单层或包括由不同材料形成的多个子层的复合层。在一些实施例中,晶种层包括钛层和位于钛层上方的铜层。晶种层可以使用例如PVD等形成。然后在晶种层上形成并且图案化光刻胶。光刻胶可以通过旋涂等形成,并且可以曝于光以用于图案化。光刻胶的图案对应于金属化图案454。图案化形成穿过光刻胶的开口以暴露晶种层。然后在光刻胶的开口中和晶种层的暴露部分上形成导电材料。导电材料可以通过镀(诸如电镀或化学镀)形成。导电材料可以包括金属,如铜、钛、钨、铝等。导电材料和下面的晶种层的部分的组合形成金属化图案454。去除光刻胶和晶种层的其上未形成导电材料的部分。光刻胶可以通过可接受的灰化或剥离工艺(诸如使用氧等离子体等)去除。一旦去除光刻胶,则诸如通过使用可接受的蚀刻工艺,诸如通过湿或干蚀刻去除晶种层的暴露部分。
介电层460然后沉积在金属化图案454、器件440和介电区域402上。在一些实施例中,介电层460由光敏材料(诸如PBO、聚酰亚胺、BCB等)形成,其可以使用光刻掩模图案化。介电层460可以通过旋涂、层压、CVD等或他们的组合形成。然后图案化介电层460。图案化形成暴露金属化图案454的部分的开口。图案化可以通过可接受的工艺,诸如当介电层460是光敏材料时通过使介电层124暴露于光或通过使用例如各向异性蚀刻进行蚀刻。如果介电层460是光敏材料,则曝光之后可以显影介电层460。
然后,金属化图案456形成在图案化的介电层460上,并且延伸至介电层460中的开口中以接触金属化图案454。金属化图案456可以以类似于金属化图案454的方式形成,并且可以由与金属化图案454类似的材料形成。介电层462可以形成在金属化图案456和介电层460上。介电层462可以以类似于介电层460的方式形成,并且可以由与介电层460类似的材料形成。再分布结构452可以使用除该实例中描述的其他材料或技术形成。
在一些实施例中,第二管芯结构400包括一个或多个通孔408,该通孔408部分或完全延伸穿过第二管芯结构400,以在第二管芯结构400的相对侧上连接再分布结构452或其他部件。在一些实施例中,第二管芯结构400可以包括零个、一个、两个或多于两个的通孔408。根据一些实施例,图18A和图18B所示的第二管芯结构400还包括凸块下金属(UBM)418和形成在再分布结构452上的外部连接件420。UBM 418提供至第二管芯结构400内的导电部件的电连接,并且外部连接件420(例如,焊球、凸块等)形成在UBM 418上。在一些实施例中,在形成外部连接件420之前不形成UBM 418,而在一些实施例中,外部连接件420不形成在第二管芯结构400上。
所示出的第二管芯结构400是说明性实例,并且应该理解,所有合适的管芯、芯片、器件等都被认为在本发明的范围内。在一些实施例中,第二管芯结构400可以以类似于第一管芯结构300的方式形成。例如,第二管芯结构400可以包括在第一工艺步骤中形成的BPV(未单独标记),并且接合焊盘410可以在相应的工艺步骤中形成在BPV上方,类似于以上针对图7和图17所描述的。
在一些实施例中,使用例如直接接合或混合接合将第二管芯结构400接合至第一管芯结构300以形成封装件500。在实施接合之前,可以对第二管芯结构400或第一管芯结构300实施表面处理以活化表面。在一些实施例中,表面处理包括等离子体处理。等离子体处理可以在真空环境(例如,真空室,未示出)中实施。用于生成等离子体的工艺气体可以是含氢气体,其包括包含氢(H2)和氩(Ar)的第一气体、包含H2和氮(N2)的第二气体或包含H2和氦(He)的第三气体。等离子体处理还可以使用纯或基本纯的H2、Ar或N2作为工艺气体实施,该工艺处理接合焊盘316/410和接合层312/412的表面。第二管芯结构400或第一管芯结构300可以用相同的表面处理工艺或不同的表面处理工艺处理,或者不处理。在一些实施例中,可以在表面处理之后清洁第二管芯结构400或第一管芯结构300。清洁可以包括实施例如化学清洁和去离子水清洁/清洗。
下一步,可以利用第二管芯结构400和第一管芯结构300实施预接合工艺。使用例如拾取和放置工艺将第二管芯结构400放置在第一管芯结构300上。对准部件222可以在放置期间用于对准第二管芯结构400。将第二管芯结构400和第一管芯结构300对准,从而使得第二管芯结构400的接合焊盘410和/或通孔408对准第一管芯结构300的接合焊盘316。在对准之后,第二管芯结构400和第一管芯结构300可以彼此挤压。在一些实施例中,挤压力可以小于每个管芯约5牛顿,但是也可以使用更大或更小的力。预接合工艺可以在室温下(例如,在从约21℃至约25℃的温度下)实施,虽然可以使用更高的温度。在一些实施例中,预接合时间可以短于约1分钟。
在预接合之后,将第二管芯结构400的接合层412和第一管芯结构300的接合层312彼此接合,从而形成封装件500。在随后的退火步骤中可以加强接合层312/412之间的接合。封装件500可以在例如从约300℃至约400℃的温度下退火约1小时和约2小时之间的时间。在退火期间,接合焊盘316和410中的金属可以扩散,从而使得还形成金属至金属的接合。可以类似地形成接合焊盘316和对应的通孔408之间的接合。因此,第一管芯结构300和第二管芯结构400之间的所得的接合可以是混合接合。在一些实施例中,在退火之后,在接合焊盘316与对应的接合焊盘410之间或通孔408之间不存在材料界面。在一些实施例中,可以在接合之后对封装件500实施分割工艺。
图18A示出了在接合焊盘316上大致居中的通孔408,但是在其他情况下,在通孔408和接合焊盘316之间可能存在一些横向未对准。例如,在图18B中,通孔408示出为与接合焊盘316未对准距离S3。距离S3对应于通孔408的中心和接合焊盘316的中心之间的横向偏移(例如,在宽度W4的一半处)。在一些情况下,如果在接合焊盘316和通孔408之间存在任何未对准,则形成比通孔408更宽的接合焊盘316可以增大将通孔408的整个表面接合至对应的接合焊盘316的可能性。在一些情况下,通过接合焊盘316的宽度W4和通孔408的宽度之间的差给出通孔408的整个表面保持接合至对应的接合焊盘316的最大未对准距离(例如,S3)。
图19A至图19B、图20A至图20B和图21示出了根据一些实施例的封装件510、520和530,每个包括接合至第二管芯结构400的第一管芯结构300。对于封装件510、520和530中的每个,第一管芯结构300和第二管芯结构400可以类似于针对图18A至图18B所述的第一管芯结构300和第二管芯结构400,并且第一管芯和第二管芯可以以与图18A至图18B所述类似的方式接合。形成封装件的所有这些变化都在本发明的范围内。
首先转至图19A,封装件510类似于图18A所示的封装件500,除了第二管芯结构400的接合焊盘410具有小于第一管芯结构300的接合焊盘316的宽度W4(见图17)的宽度W6之外。图19B示出了类似于图19A所示的封装件510,但是出于说明性目的,图19B中的一些部件示出为具有比图19A中更大的对准偏移。在一些实施例中,接合焊盘410可以具有在约1.5μm和约5μm之间的宽度W6,但是其他宽度也是可能的。在一些实施例中,接合焊盘410的宽度W6可以在其相关联的接合焊盘316的宽度W4的约40%和约90%之间。以这种方式,接合焊盘410的宽度W6可以小于接合焊盘316的宽度W4。在将接合焊盘410接合至接合焊盘316之后,接合焊盘316可以横向延伸超过接合焊盘410,如图19A所示。
图19A示出了在接合焊盘316上大致居中的接合焊盘410,但是在其他情况下,接合焊盘410和接合焊盘316之间可能存在一些横向未对准。例如,在图19B中,接合焊盘410示出为与接合焊盘316未对准距离S4。距离S4对应于接合焊盘410的中心(例如,在宽度W6的一半处)和接合焊盘316的中心(例如,在宽度W4的一半处)之间的横向偏移。在一些情况下,如果在第一管芯结构300和第二管芯结构400之间存在未对准,则形成具有小于接合焊盘316的宽度的接合焊盘410可以增加接合焊盘410的整个顶面接合至接合焊盘316的可能性。在一些情况下,通过接合焊盘410的宽度W6和接合焊盘316的宽度W4之间的差给出接合焊盘410的整个表面保持接合的最大未对准距离(例如,S4)。将接合焊盘410的整个接合表面结合可以减小接合焊盘316和接合焊盘410之间的接触电阻,并且因此可以改善封装件510的电性能。以这种方式,可以通过形成具有小于接合焊盘316的宽度的接合焊盘410来减少由于未对准引起的不期望的影响。
下一步转至图20A至图20B和图21,封装件520和530类似于图18A至图18B所示的封装件500,除了BPV 128接触导电焊盘118并且通过导电焊盘118电连接至互连结构108之外。图20A至图20B示出了封装件520,其中第二管芯结构400的接合焊盘410具有大于第一管芯结构300的接合焊盘316的宽度,类似于图18A至图18B所示的封装件500。图20B示出了类似于图20A所示的封装件520,但是出于说明性目的,示出了图20B中的一些部件具有比图20A中更大的对准偏移。图21示出了封装件530,其中第二管芯结构400的接合焊盘410具有小于第一管芯结构300的接合焊盘316的宽度,类似于图19A和图19B所示的封装件510。对于图21所述的封装件530也可能存在与针对图18A至图18B、图19A至图19B和图20A所述的未对准,但未在单独的图中示出。
可以以与以上针对图3至图4所示的导电焊盘118类似的方式形成接触BPV 128的导电焊盘118。例如,可以图案化钝化层114以暴露金属焊盘112,并且然后导电焊盘118形成在金属焊盘112上方。BPV 128可以以与图6至图7所示的BPV 128类似的方式形成。例如,开口124可以形成在介电层122中,除了开口暴露导电焊盘118而不是金属焊盘112之外。然后,第一阻挡层127和BPV 128的导电材料可以形成在如图7所示的开口124中。如图20A至图20B和图21所示,一些导电焊盘118可能未连接至BPV 128。在一些实施例中,相邻的导电焊盘118之间的横向间隔可以是在约2μm和约100μm之间的距离D6。
在一些情况下,通过形成与如本文所述的接合焊盘316分隔开的BPV 128,导电焊盘118可以形成为具有更小尺寸和/或分隔距离D6。在一些情况下,通过形成BPV 128以接触导电焊盘118,BPV 128可以形成为具有更小尺寸和更小间隔距离。例如,与导电焊盘118接触的开口124的更浅的深度可以允许更精确的光刻图案化。在一些实施例中,形成为与BPV128接触的导电焊盘118可以形成为具有小于不通过BPV 128接触的导电焊盘118的宽度。例如,未被探测的导电焊盘118可以形成为具有更小的宽度。在一些实施例中,形成为通过BPV128接触的导电焊盘118可以具有比其他导电焊盘118的宽度W2小约1%和约90%之间的宽度W2’。
图20A示出了在导电焊盘118上大致居中的BPV 128,但是在其他情况下,BPV 128和导电焊盘118之间可能存在一些横向未对准。例如,在图20B中,BPV 128示出为与导电焊盘118未对准距离S5。距离S5对应于BPV 128的中心(例如,在宽度W3的一半处)和导电焊盘118的中心(例如,在宽度W2’的一半处)之间的横向偏移。在一些情况下,通过在与接合焊盘316不同的工艺步骤中形成BPV 128,允许BPV 128形成为具有更小的宽度(例如,W3)。如果存在任何未对准,则形成具有更小宽度(例如,比对应的导电焊盘118更小的宽度)的BPV128可以增大BPV 128的整个表面接合至导电焊盘118的可能性。在一些情况下,通过BPV128的宽度W3和对应的导电焊盘118的宽度W2’之间的差给出BPV 128的整个表面保持接合的最大未对准距离(例如,S5)。接合BPV 128的整个接合表面可以减小BPV 128和导电焊盘118之间的接触电阻,并且因此可以改善封装件520的电性能。以这种方式,可以减小接合的封装件的一些导电部件的尺寸或间距,而不会增大由于未对准或其他工艺缺陷而导致的不良影响的风险。
图22至图26示出了根据一些实施例的形成包括封装件600的封装结构1000的中间步骤。图22示出了已经接合至封装件600中的第一管芯结构300和第二管芯结构400。第一管芯结构300和第二管芯结构400可以类似于先前针对图17至图21描述的第一管芯结构300或第二管芯结构400。封装件600可以类似于先前针对图18A至图21描述的封装件500、510、520或530,除了外部连接件420没有形成在第二管芯结构400上之外。如图22所示,封装件600包括形成在第二管芯结构400上的接触焊盘602,接触焊盘602允许制成至封装件600的电连接。
图22还示出了具有粘合层723和位于粘合层723上方的聚合物层725的载体衬底721。在一些实施例中,载体衬底721包括例如硅基材料(诸如玻璃或氧化硅)、或其他材料(诸如氧化铝)、这些材料中的任何组合等。载体衬底721可以是平坦的,以容纳器件(诸如封装件600)的附接。粘合层723放置在载体衬底721上,以辅助上面的结构(例如,聚合物层725)的粘合。在一些实施例中,粘合层723可以包括光热转换(LTHC)材料或紫外胶,其在暴露于紫外线时会失去其粘合性。然而,也可以使用其他类型的粘合剂,诸如压敏粘合剂、可辐射固化的粘合剂、环氧树脂、这些的组合等。粘合层723可以以半液体或凝胶形式放置在载体衬底721上,其在压力下容易变形。
聚合物层725放置在粘合层723上方,并且用于对封装件600提供保护。在一些实施例中,聚合物层725可以是聚苯并恶唑(PBO),虽然可以可选地使用任何合适的材料,诸如聚酰亚胺或聚酰亚胺衍生物。可以使用例如旋涂工艺将聚合物层725放置至约2μm和约15μm之间的厚度,诸如约5μm,但是可以可选地使用任何合适的方法和厚度。
在一些实施例中,通孔(诸如介电通孔(TDV)727)形成在聚合物层725上方。在一些实施例中,首先在聚合物层725上方形成晶种层(未示出)。晶种层是导电材料的薄层,其有助于在随后的处理步骤中更厚的层的形成。在一些实施例中,晶种层可以包括约厚的钛层,随后是约/>厚的铜层。晶种层可以使用工艺(诸如溅射、蒸发或PECVD工艺)产生,取决于期望的材料。一旦形成晶种层,可以在晶种层上方形成并且图案化光刻胶(未示出)。TDV 727然后形成在图案化的光刻胶内。在一些实施例中,TDV 727包括一种或多种导电材料,诸如铜、钨、其他导电金属等,并且可以例如通过电镀、化学镀等形成。在一些实施例中,使用电镀工艺,其中将晶种层和光刻胶浸没或浸入电镀液中。一旦使用光刻胶和晶种层形成TDV 727,就可以使用合适的去除工艺去除光刻胶。在一些实施例中,可以使用等离子体灰化工艺来去除光刻胶,从而可以提高光刻胶的温度直至光刻胶经历热分解并且可以去除。然而,可以可选地使用任何其他合适的工艺,诸如湿剥离。光刻胶的去除可以暴露下面的晶种层的部分。一旦形成TDV 727,晶种层的暴露部分就可以例如使用湿或干蚀刻工艺去除。TDV 727可以形成为在约180μm和约200μm之间的高度,具有约190μm的临界尺寸和约300μm的间距。
在形成TDV 727之后,将封装件600附接至聚合物层725上。在一些实施例中,封装件600可以使用例如拾取和放置工艺放置。然而,可以使用任何合适的放置封装件600的方法。
图23示出了用密封剂729对封装件600和TDV 727的密封。密封剂729可以是模塑料,诸如树脂、聚酰亚胺、PPS、PEEK、PES、耐热结晶树脂、这些的组合等。图24示出了密封剂729的减薄以暴露TDV 727和封装件600。减薄可以例如使用CMP工艺或另一工艺实施。密封剂729的减薄可以暴露封装件600的接触焊盘602。
图25示出了在密封剂729上方具有一层或多层的再分布结构800的形成。在一些实施例中,再分布结构800可以通过首先在密封剂729上方形成第一再分布钝化层801形成。在一些实施例中,第一再分布钝化层801可以是聚苯并恶唑(PBO),但是可以可选地使用任何合适的材料,诸如聚酰亚胺或聚酰亚胺衍生物,诸如低温固化的聚酰亚胺。第一再分布钝化层801可以使用例如旋涂工艺放置成约5μm和约17μm之间的厚度,诸如约7μm,虽然可以可选地使用任何合适的方法和厚度。
一旦形成第一再分布钝化层801,第一再分布通孔803就可以形成为穿过第一再分布钝化层801,以制成至封装件600和TDV 727的电连接。例如,第一再分布通孔803可以形成为与接触焊盘602电接触。在一些实施例中,第一再分布通孔803可以通过使用镶嵌工艺、双重镶嵌工艺或另一工艺形成。在形成第一再分布通孔803之后,在第一再分布通孔803上方形成并且与第一再分布通孔803电连接的第一再分布层805。在一些实施例中,第一再分布层805可以通过初始通过合适的形成工艺(诸如CVD或溅射)形成钛铜合金的晶种层(未示出)而形成。然后可以形成光刻胶(也未示出)以覆盖晶种层,并且然后可以图案化光刻胶以暴露晶种层中位于期望将第一再分布层805定位的那些部分。
一旦形成光刻胶并且图案化,就可以通过沉积工艺(诸如电镀)在晶种层上形成导电材料(诸如铜)。导电材料可以形成为具有约1μm和约10μm之间的厚度,诸如约4μm。然而,尽管所讨论的材料和方法适合于形成导电材料,但是这些材料仅是示例性的。可以可选地使用任何其他合适的材料(诸如AlCu或Au)以及任何其他合适的形成工艺(诸如CVD或PVD)以形成第一再分布层805。
在形成第一再分布层805之后,第二再分布钝化层807可以形成并且图案化以帮助隔离第一再分布层805。在一些实施例中,第二再分布钝化层807可以类似于第一再分布钝化层801,诸如通过为正基调PBO,或可以与第一再分布钝化层801不同,诸如通过为负基调材料,诸如低温固化的聚酰亚胺。第二再分布钝化层807可以放置为约7μm的厚度。一旦就位,第二再分布钝化层807可以使用例如光刻掩膜和蚀刻工艺图案化以形成开口或,如果第二再分布钝化层807的材料是感光的,则曝光并且显影第二再分布钝化层807的材料。然而,可以使用任何合适的材料和图案化方法。
在图案化第二再分布钝化层807之后,可以形成第二再分布层809以延伸穿过在第二再分布钝化层807内形成的开口并且制成与第一再分布层805的电连接。在一些实施例中,第二再分布层809可以使用类似于第一再分布层805的材料和工艺形成。例如,晶种层可以施加并且被图案化的光刻胶覆盖,可以将导电材料(诸如铜)施加在晶种层上,可以去除图案化的光刻胶,并且晶种层可以使用导电材料作为掩模蚀刻。在一些实施例中,第二再分布层809形成为约4μm的厚度。然而,可以使用任何合适的材料或制造工艺。
在形成第二再分布层809之后,将第三再分布钝化层811施加在第二再分布层809上方,以帮助隔离和保护第二再分布层809。在一些实施例中,第三再分布钝化层811可以由与第二再分布钝化层807类似的材料并且以与第二再分布钝化层807类似的方式形成为约7μm的厚度。例如,第三再分布钝化层811可以由PBO或已经相对于第二再分布钝化层1007如上所述应用和图案化的低温固化的聚酰亚胺形成。然而,可以使用任何合适的材料或制造工艺。
在图案化第三再分布钝化层811之后,可以形成第三再分布层813以延伸穿过在第三再分布钝化层811内形成的开口并且制成与第二再分布层809的电连接。在一些实施例中,第三再分布层813可以使用类似于第一再分布层805的材料和工艺形成。例如,晶种层可以施加并且被图案化的光刻胶覆盖,可以将导电材料(诸如铜)施加在晶种层上,可以去除图案化的光刻胶,并且晶种层可以使用导电材料作为掩模蚀刻。在一些实施例中,第三再分布层813形成为5μm的厚度。然而,可以使用任何合适的材料或制造工艺。
在形成第三再分布层813之后,第四再分布钝化层815可以形成在第三再分布层813上方,以帮助隔离和保护第三再分布层813。在一些实施例中,第四再分布钝化层815可以由与第二再分布钝化层807类似的材料形成并且以类似的方式形成。例如,第四再分布钝化层815可以由PBO或低温固化的聚酰亚胺形成,该PBO或低温固化的聚酰亚胺已经如上参照第二再分布钝化层807所描述的施加和图案化。在一些实施例中,第四再分布钝化层815形成为约8μm的厚度。然而,可以使用任何合适的材料或制造工艺。
在其他实施例中,再分布结构800的再分布通孔和再分布层可以使用镶嵌工艺(诸如双重镶嵌工艺)形成。例如,第一再分布钝化层可以形成在密封剂729上方。第一再分布钝化层然后使用一个或多个光刻步骤图案化,以在第一再分布钝化层内形成用于通孔的开口和用于导线的开口。可以在用于通孔的开口和用于导线的开口中形成导电材料,以形成第一再分布通孔和第一再分布层。在如针对第一再分布钝化层所描述的,可以在第一再分布钝化层上方形成额外的再分布钝化层,并且可以额外的再分布钝化层中形成额外的再分布通孔和导线组,从而形成再分布结构800。该技术或其他技术可用于形成再分布结构800。
图25另外示出了凸块下金属819和第三外部连接件817的形成,以制成与第三再分布层813的电接触。在一些实施例中,凸块下金属819可以每个包括三层导电材料,诸如钛层、铜层和镍层。然而,本领域普通技术人员应该意识到,存在许多适合于形成凸块下金属819的材料和层的合适布置,诸如铬/铬-铜合金/铜/金的布置、钛/钛钨/铜的布置或铜/镍/金的布置。可以用于凸块下金属819的任何合适的材料或材料层完全旨在包括在实施例的范围内。
在一些实施例中,凸块下金属819通过在第三再分布层813上方并且沿着穿过第四再分布钝化层815的开口的内部形成每层生成。每层的形成可以使用镀工艺(诸如电化学镀)实施。虽然可以根据期望的材料使用其他形成的工艺,诸如溅射、蒸发或PECVD工艺。凸块下金属819可以形成为具有约0.7μm和约10μm之间的厚度,诸如约5μm。
在一些实施例中,第三外部连接件817可以放置在凸块下金属819上,并且可以是包括共晶材料(诸如焊料)的球栅阵列(BGA),但是可以可选地使用任何合适的材料。在第三外部连接件817是焊球的一些实施例中,第三外部连接件817可以使用落球法(诸如直接落球工艺)形成。在另一实施例中,焊球可以通过任何合适的方法(诸如蒸发、电镀、印刷、焊料转移)通过首先形成锡层形成,并且然后实施回流以将材料成形为所期望的凸块形状。一旦形成第三外部连接件817,就可以实施测试以确保该结构适合于进一步处理。
图26示出了器件封装件900通过聚合物层725至TDV 727的接合。在接合器件封装件900之前,从聚合物层725去除载体衬底721和粘合层723。还图案化聚合物层725以暴露TDV727。在一些实施例中,可以使用例如激光钻孔方法图案化聚合物层725。在这种方法中,保护层(诸如光热转换(LTHC)层或均质(hogomax)层(未单独示出))首先沉积在聚合物层725上方。一旦保护,就将激光直接指向聚合物层725期望去除的那些部分,以暴露下面的TDV 727。在激光钻孔工艺期间,钻孔能量可以在从0.1mJ至约30mJ的范围内,并且钻孔角度相对于聚合物层725的法线为约0度(垂直于聚合物层725)至约85度。在一些实施例中,可以形成图案化以在TDV 727上方形成开口,以具有在约100μm和约300μm之间的宽度,诸如约200μm。
在另一实施例中,聚合物层725可以通过首先将光刻胶(未单独示出)施加至聚合物层725并且然后将光刻胶暴露于图案化的能量源(例如,图案化的光源)以引起化学反应图案化,从而在光刻胶的暴露于图案化的光源的那些部分中引起物理变化。然后,将显影剂施加至暴露的光刻胶以利用物理变化,并且选择地去除光刻胶的暴露部分或光刻胶的未暴露部分,取决于期望的图案,并且通过例如干蚀刻工艺去除下面的聚合物层725的暴露部分。然而,可以利用用于图案化聚合物层725的任何其他合适的方法。
在一些实施例中,器件封装件900包括衬底902和耦接至衬底902的一个或多个堆叠的管芯910(例如,910A和910B)。虽然示出了一组堆叠的管芯910A/910B,但是在其他实施例中,可以将多个堆叠的管芯910(每个具有一个或多个堆叠的管芯)并排设置成耦接至衬底902的相同表面。衬底902可以由半导体材料(诸如硅、锗、金刚石等)制成。在一些实施例中,也可以使用复合材料,诸如硅锗、碳化硅、砷化镓、砷化铟、磷化铟、碳化硅锗、磷砷化镓、磷化铟镓、这些的组合等。另外,衬底902可以是绝缘体上硅(SOI)衬底。通常,SOI衬底包括半导体材料层,诸如外延硅、锗、硅锗、SOI、绝缘体上硅锗(SGOI)或他们的组合。在一个可选实施例中,衬底902基于绝缘芯,诸如玻璃纤维增强树脂芯。一种示例性芯材料是玻璃纤维树脂,诸如FR4。芯材料的可选材料包括双马来酰亚胺三嗪(BT)树脂,或可选地,其他印刷电路板(PCB)材料或膜。积聚膜(诸如味之素积聚膜(ABF))或其他层压板可以用于衬底902。
衬底902可以包括有源和无源器件(未示出)。可以使用多个器件(诸如晶体管、电容器、电阻器、他们的组合等)生成用于器件封装件900的设计的结构和功能要求。可以使用任何合适的方法形成器件。
衬底902还可以包括金属化层或导电通孔(未示出)。金属化层可以形成在有源和无源器件上方,并且设计为连接各个器件以形成功能电路。金属化层可以由电介质(例如,低k介电材料)和导电材料(例如,铜)的交替层形成,其中,通孔互连导电材料层,并且可以通过任何合适的工艺(诸如沉积、镶嵌、双重镶嵌等)形成。在一些实施例中,衬底902基本上没有有源和无源器件。
衬底902可以具有位于衬底902的第一侧上的接合焊盘904以耦接至堆叠的管芯910,以及位于衬底902的第二侧上的接合焊盘906,第二侧与衬底902的第一侧相对,以耦接至外部连接件901。在一些实施例中,接合焊盘904和906通过在衬底902的第一侧和第二侧上的介电层(未示出)中形成凹槽(未示出)形成。可以形成凹槽以允许接合焊盘904和906嵌入至介电层中。在其他实施例中,由于可以在介电层上形成接合焊盘904和906,因此省略了凹槽。在一些实施例中,接合焊盘904和906包括由铜、钛、镍、金、钯等或他们的组合制成的薄晶种层(未示出)。接合焊盘904和906的导电材料可以沉积在薄晶种层上方。导电材料可以通过电化学镀工艺、化学镀工艺、CVD、原子层沉积(ALD)、PVD等或他们的组合形成。在一实施例中,接合焊盘904和906的导电材料是铜、钨、铝、银、金等或他们的组合。
在实施例中,接合焊盘904和接合焊盘906是包括三层导电材料(诸如钛层、铜层和镍层)的UBM。可以使用材料和层的其他布置(诸如铬/铬-铜合金/铜/金的布置、钛/钛钨/铜的布置或铜/镍/金的布置)用于接合焊盘904和906的形成。可以用于接合焊盘904和906的任何合适的材料或材料层完全旨在包括在本申请的范围内。在一些实施例中,导电通孔延伸穿过衬底902,并且将接合焊盘904中的至少一个耦接至接合焊盘906中的至少一个。
在所示的实施例中,堆叠的管芯910通过引线接合912耦接至衬底902,但是可以使用其他连接,诸如导电凸块。在实施例中,堆叠的管芯910是堆叠的存储管芯。例如,堆叠的管芯910可以是存储管芯,诸如低功率(LP)双倍数据速率(DDR)存储模块,诸如LPDDR1、LPDDR2、LPDDR3、LPDDR4等存储模块。
堆叠的管芯910和引线接合912可以通过模制材料914密封。模制材料914可以模制在堆叠的管芯910和引线接合912上,例如,使用压缩模制。在一些实施例中,模制材料914是模塑料、聚合物、环氧树脂、氧化硅填充材料等或他们的组合。可以实施固化工艺以固化模制材料914。固化工艺可以是热固化、UV固化等或他们的组合。
在一些实施例中,堆叠的管芯910和引线接合912掩埋在模制材料914中,并且在模制材料914固化之后,实施平坦化步骤(诸如研磨)以去除模制材料914的过量部分,并且为器件封装件900提供基本平坦的表面。
在一些实施例中,可以形成外部连接件901以在器件封装件900和例如TDV 727之间提供外部连接。外部连接件901可以是接触凸块(诸如微凸块)或可控塌陷芯片连接(C4)凸块,并且可以包括诸如锡的材料或诸如银或铜的其他合适的材料。在其中外部连接件901是锡焊料凸块的一些实施例中,外部连接件901可以通过首先通过任何合适的方法(诸如蒸发、电镀、印刷、焊料转移、焊球放置等)将锡层初始形成为例如约100μm的厚度形成。一旦在结构上形成锡层,就实施回流以将材料成形为所期望的凸块形状。
一旦形成外部连接件901,就将外部连接件901与TDV 727对准并且放置在TDV 727上方,并且实施接合。例如,在其中外部连接件901是焊料凸块的一些实施例中,接合工艺可以包括回流工艺,由此外部连接件901的温度升高至外部连接件901将液化并且流动的点,从而一旦外部连接件901重新固化就将器件封装件900接合至TDV 727。可以形成密封剂903以密封和保护器件封装件900。密封剂903可以在聚合物层725和器件封装件900之间延伸,并且在一些实施例中可以是底部填充物。以这种方式,可以形成封装结构1000。
实施例可以实现许多优势。通过在两个相应的处理步骤中形成接合焊盘通孔(BPV)和管芯的接合焊盘,可以减小部件(诸如金属线、导电焊盘、BPV和/或接合焊盘)的尺寸和/或间隔(例如,间距)。例如,通过在第一光刻和蚀刻步骤中形成BPV,可以在不增加工艺缺陷(诸如电短路)的可能性的情况下,BPV可以形成为更靠近其他部件,诸如导电焊盘(例如,铝焊盘)。以这种方式,可以减小管芯或结合管芯的封装件的尺寸。另外,可以增大管芯或封装件的布线密度。在一些情况下,可以形成第一管芯的第一接合焊盘,从而使得其整个接合表面接合至第二管芯的对应的第二接合焊盘,即使在接合工艺期间发生未对准。例如,第一管芯的第一接合焊盘可以具有小于第二接合焊盘的宽度,因此,即使在第一接合焊盘和第二接合焊盘之间存在一些未对准的情况下,第一接合焊盘的整个接合表面仍保持与第二接合焊盘接触。以这种方式,当发生未对准时,结合有接合管芯的封装件可以在接合焊盘之间具有改善的接触电阻。
在实施例中,器件包括位于半导体衬底上方的互连结构,互连结构包括第一导电焊盘、位于互连结构上方的第一介电层、位于第一介电层内的接合焊盘通孔,接合焊盘通孔中的每个接合焊盘通孔包括:第一阻挡层,沿着第一介电层的侧壁延伸并且位于第一导电焊盘中的第一导电焊盘上方;以及第一导电材料,位于第一阻挡层上方;其中,第一导电材料的顶面和第一阻挡层的顶面共面,第二介电层,位于第一介电层上方,以及第一接合焊盘,位于第二介电层内,每个第一接合焊盘包括:第二阻挡层,沿着第二介电层的侧壁延伸并且位于接合焊盘通孔的第一接合焊盘通孔的第一导电材料和第一阻挡层上,其中,第二阻挡层完全覆盖第一接合焊盘通孔的第一导电材料的顶面和第一阻挡层的顶面,以及第二导电材料,位于第二阻挡层上方。在实施例中,器件还包括在第一介电层、互连结构和半导体衬底的侧壁上方延伸的第三介电层。在实施例中,第二介电层在第三介电层和第一介电层上方延伸。在实施例中,器件还包括位于第一介电层内的铝焊盘,其中,铝焊盘接触第一导电焊盘中的第一导电焊盘。在实施例中,接合焊盘通孔接触铝焊盘。在实施例中,器件还包括在第一导电焊盘上方延伸的钝化层,接合焊盘通孔延伸穿过钝化层。在实施例中,相邻的第一导电焊盘横向分隔开2μm和20μm之间的距离。在实施例中,第二阻挡层包括钛、氮化钛、钽或氮化钽。
在实施例中,封装件包括第一管芯,包括第一金属化层、位于第一金属化层上的一个或多个第一接合焊盘通孔,其中,第一阻挡层在每个第一接合焊盘通孔和第一金属化层之间延伸横跨第一金属化层,以及位于一个或多个第一接合焊盘通孔上的一个或多个第一接合焊盘,其中,第二阻挡层在第一接合焊盘和第一接合焊盘通孔之间延伸横跨每个第一接合焊盘通孔,并且第二管芯包括一个或多个第二接合焊盘,其中,第二接合焊盘接合至第一管芯的第一接合焊盘。在实施例中,第一管芯包括第一接合层,第一接合焊盘设置在第一接合层内,第二管芯包括第二接合层,第二接合焊盘设置在第二接合层内,并且第一接合层接合至第二接合层。在实施例中,第一接合焊盘的宽度在第二接合焊盘的宽度的95%和150%之间。在实施例中,第二接合焊盘的宽度在第一接合焊盘的宽度的95%和150%之间。在实施例中,第二管芯还包括通孔,其中,通孔接合至第一管芯的第一接合焊盘。在实施例中,封装件还包括位于第一金属化层上的导电焊盘,其中,导电焊盘包括与一个或多个第一接合焊盘通孔不同的导电材料。在实施例中,导电焊盘与相邻的第一接合焊盘横向分隔开2μm和100μm之间的距离。
在实施例中,方法包括在半导体衬底的顶面上形成互连结构,互连结构包括第一导电焊盘,在互连结构上方形成第一介电层,蚀刻第一介电层以形成暴露第一导电焊盘的第一开口,在第一介电层中的第一开口内沉积第一阻挡层,在第一开口内和第一阻挡层上沉积第一导电材料,在第一介电层上方形成第二介电层,蚀刻第二介电层以形成暴露第一导电材料的第二开口,在第二介电层中的第二开口内沉积第二阻挡层,在第二开口内和第二阻挡层上沉积第二导电材料,并且将半导体管芯接合至第二介电层,半导体管芯包括接合层和接合焊盘,其中,接合将半导体管芯的接合层接合至第二介电层,并且将半导体管芯的接合焊盘接合至第二导电材料。在实施例中,方法包括在沉积第一导电材料之后,在第一介电层和第一导电材料上方形成牺牲层,将牺牲层附接至第一载体结构,减薄半导体衬底,并且去除第一载体结构和牺牲层,其中,在去除牺牲层之后,第二介电层形成在第一介电层上方。在实施例中,方法包括在互连结构上方形成钝化层并且在钝化层上方形成导电焊盘,其中,第一介电层形成在导电焊盘和钝化层上方。在实施例中,半导体管芯的接合焊盘具有小于第二导电材料的横向宽度。在实施例中,半导体管芯的接合焊盘具有大于第二导电材料的横向宽度。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (20)

1.一种半导体器件,包括:
互连结构,位于半导体衬底上方,所述互连结构包括多个第一导电焊盘;
第一介电层,位于所述互连结构上方;
多个接合焊盘通孔,位于所述第一介电层内,所述多个接合焊盘通孔中的每个接合焊盘通孔包括:
第一阻挡层,沿着所述第一介电层的侧壁延伸并且位于所述多个第一导电焊盘中的第一导电焊盘上方;以及
第一导电材料,位于所述第一阻挡层上方,其中,所述第一导电材料的顶面和所述第一阻挡层的顶面共面;
第二介电层,位于所述第一介电层上方;以及
多个第一接合焊盘,位于所述第二介电层内,所述多个第一接合焊盘中的每个第一接合焊盘包括:
第二阻挡层,沿着所述第二介电层的侧壁延伸并且位于所述第一导电材料和所述多个接合焊盘通孔中的第一接合焊盘通孔的所述第一阻挡层上,其中,所述第二阻挡层完全覆盖所述第一导电材料的顶面和所述第一接合焊盘通孔的所述第一阻挡层的顶面;
第二导电材料,位于所述第二阻挡层上方。
2.根据权利要求1所述的半导体器件,还包括:第三介电层,在所述第一介电层、所述互连结构和所述半导体衬底的侧壁上方延伸。
3.根据权利要求2所述的半导体器件,其中,所述第二介电层在所述第三介电层和所述第一介电层上方延伸。
4.根据权利要求1所述的半导体器件,还包括:铝焊盘,位于所述第一介电层内,其中,所述铝焊盘接触所述多个第一导电焊盘中的第一导电焊盘。
5.根据权利要求4所述的半导体器件,其中,所述多个接合焊盘通孔中的接合焊盘通孔接触所述铝焊盘。
6.根据权利要求1所述的半导体器件,还包括:钝化层,在所述多个第一导电焊盘上方延伸,所述多个接合焊盘通孔延伸穿过所述钝化层。
7.根据权利要求1所述的半导体器件,其中,所述多个第一导电焊盘中的相邻的第一导电焊盘横向分隔开2μm和20μm之间的距离。
8.根据权利要求1所述的半导体器件,其中,所述第二阻挡层包括钛、氮化钛、钽或氮化钽。
9.一种封装件,包括:
第一管芯,包括:
第一金属化层;
一个或多个第一接合焊盘通孔,位于所述第一金属化层上,其中,第一阻挡层在每个相应的第一接合焊盘通孔和所述第一金属化层之间延伸,其中,每个第一阻挡层覆盖所述每个相应的第一接合焊盘通孔的侧壁和底面;以及
一个或多个第一接合焊盘,位于所述一个或多个第一接合焊盘通孔上,其中,第二阻挡层在每个相应的第一接合焊盘通孔和相应的第一接合焊盘之间延伸,其中,每个第二阻挡层的底面是平坦的;以及
第二管芯,包括一个或多个第二接合焊盘,其中,第二接合焊盘接合至所述第一管芯的第一接合焊盘。
10.根据权利要求9所述的封装件,其中,所述第一管芯包括第一接合层,其中,所述第一接合焊盘设置在所述第一接合层内,其中,所述第二管芯包括第二接合层,其中,所述第二接合焊盘设置在所述第二接合层内,并且其中,所述第一接合层接合至所述第二接合层。
11.根据权利要求9所述的封装件,其中,所述第一接合焊盘的宽度在所述第二接合焊盘的宽度的95%和150%之间。
12.根据权利要求9所述的封装件,其中,所述第二接合焊盘的宽度在所述第一接合焊盘的宽度的95%和150%之间。
13.根据权利要求9所述的封装件,其中,所述第二管芯还包括通孔,其中,所述通孔接合至所述第一管芯的所述第一接合焊盘。
14.根据权利要求9所述的封装件,还包括:导电焊盘,位于所述第一金属化层上,其中,所述导电焊盘包括与所述一个或多个第一接合焊盘通孔不同的导电材料。
15.根据权利要求14所述的封装件,其中,所述导电焊盘与相邻的第一接合焊盘横向分隔开2μm和100μm之间的距离。
16.一种形成封装件的方法,包括:
在半导体衬底的顶面上形成互连结构,所述互连结构包括第一导电焊盘;
在所述互连结构上方形成第一介电层;
蚀刻所述第一介电层以形成暴露所述第一导电焊盘的第一开口;
在所述第一介电层中的所述第一开口内沉积第一阻挡层;
在所述第一开口内和所述第一阻挡层上沉积第一导电材料;
在所述第一介电层上方形成第二介电层;
蚀刻所述第二介电层以形成暴露所述第一导电材料的第二开口;
在所述第二介电层中的所述第二开口内沉积第二阻挡层;
在所述第二开口内和所述第二阻挡层上沉积第二导电材料;以及
将半导体管芯接合至所述第二介电层,所述半导体管芯包括接合层和接合焊盘,其中,所述接合将所述半导体管芯的接合层接合至所述第二介电层,并且将所述半导体管芯的接合焊盘接合至所述第二导电材料。
17.根据权利要求16所述的方法,还包括:
在沉积所述第一导电材料之后,在所述第一介电层和所述第一导电材料上方形成牺牲层;
将所述牺牲层附接至第一载体结构;
减薄所述半导体衬底;以及
去除所述第一载体结构和所述牺牲层,其中,在去除所述牺牲层之后,所述第二介电层形成在所述第一介电层上方。
18.根据权利要求16所述的方法,还包括:
在所述互连结构上方形成钝化层;以及
在所述钝化层上方形成导电焊盘,其中,所述第一介电层形成在所述导电焊盘和所述钝化层上方。
19.根据权利要求16所述的方法,其中,所述半导体管芯的所述接合焊盘具有小于所述第二导电材料的横向宽度。
20.根据权利要求16所述的方法,其中,所述半导体管芯的所述接合焊盘具有大于所述第二导电材料的横向宽度。
CN202010896773.8A 2019-08-30 2020-08-31 半导体器件、封装件及其形成方法 Active CN112447646B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962893971P 2019-08-30 2019-08-30
US62/893,971 2019-08-30
US16/929,708 US11264343B2 (en) 2019-08-30 2020-07-15 Bond pad structure for semiconductor device and method of forming same
US16/929,708 2020-07-15

Publications (2)

Publication Number Publication Date
CN112447646A CN112447646A (zh) 2021-03-05
CN112447646B true CN112447646B (zh) 2023-12-08

Family

ID=74681802

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010896773.8A Active CN112447646B (zh) 2019-08-30 2020-08-31 半导体器件、封装件及其形成方法

Country Status (4)

Country Link
US (3) US11264343B2 (zh)
KR (1) KR102443350B1 (zh)
CN (1) CN112447646B (zh)
TW (1) TWI769504B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018124695A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrieren von Passivvorrichtungen in Package-Strukturen
KR20210134141A (ko) * 2020-04-29 2021-11-09 삼성전자주식회사 반도체 장치
US11462497B2 (en) * 2020-10-14 2022-10-04 Western Digital Technologies, Inc. Semiconductor device including coupled bond pads having differing numbers of pad legs
US11515234B2 (en) * 2020-12-03 2022-11-29 Advanced Semiconductor Engineering, Inc. Semiconductor device package including promoters and method of manufacturing the same
US11881423B2 (en) * 2021-02-09 2024-01-23 Applied Materials, Inc. Electrostatic chuck with metal bond
KR102385124B1 (ko) * 2021-04-07 2022-04-12 신웅철 반도체 패키지용 본딩 와이어
US20230045223A1 (en) * 2021-08-05 2023-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement pattern and method for measuring overlay shift of bonded wafers
US11876063B2 (en) * 2021-08-31 2024-01-16 Nanya Technology Corporation Semiconductor package structure and method for preparing the same
TWI813024B (zh) * 2021-09-23 2023-08-21 旺宏電子股份有限公司 三維記憶體元件的形成方法
TWI819440B (zh) * 2021-12-21 2023-10-21 矽品精密工業股份有限公司 電子封裝件及其製法
US20230245987A1 (en) * 2022-01-28 2023-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Slotted bond pad in stacked wafer structure
CN115458505B (zh) * 2022-11-11 2023-03-07 广州粤芯半导体技术有限公司 半导体结构及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9748206B1 (en) * 2016-05-26 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional stacking structure and manufacturing method thereof
US9768133B1 (en) * 2016-09-22 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
DE102018112657A1 (de) * 2017-07-27 2019-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitergehäuse und verfahren zu seinem herstellen
CN109786348A (zh) * 2017-11-15 2019-05-21 台湾积体电路制造股份有限公司 形成具有凹槽的金属接合件

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7652378B2 (en) * 2006-10-17 2010-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Aluminum-based interconnection in bond pad layer
KR101416317B1 (ko) 2008-03-05 2014-07-08 삼성전자주식회사 반도체 소자의 배선층 형성 방법
US7884433B2 (en) * 2008-10-31 2011-02-08 Magic Technologies, Inc. High density spin-transfer torque MRAM process
US8314483B2 (en) * 2009-01-26 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. On-chip heat spreader
US8659155B2 (en) 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9831122B2 (en) * 2012-05-29 2017-11-28 Globalfoundries Inc. Integrated circuit including wire structure, related method and design structure
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9064937B2 (en) * 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US9461018B1 (en) 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US9893028B2 (en) * 2015-12-28 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bond structures and the methods of forming the same
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
CN110494962B (zh) 2017-04-04 2024-01-12 索尼半导体解决方案公司 半导体器件、制造半导体器件的方法和电子设备
US10249583B1 (en) * 2017-09-19 2019-04-02 Infineon Technologies Ag Semiconductor die bond pad with insulating separator
US10629540B2 (en) * 2017-09-27 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10515874B2 (en) * 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10608642B2 (en) 2018-02-01 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile radom access memory cells
JP2019134118A (ja) 2018-02-01 2019-08-08 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
EP3807927A4 (en) * 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US10504873B1 (en) * 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure with protective structure and method of fabricating the same and package
KR102593085B1 (ko) * 2018-11-21 2023-10-24 삼성전자주식회사 반도체 장치, 반도체 패키지 및 이의 제조 방법
US11069703B2 (en) * 2019-03-04 2021-07-20 Sandisk Technologies Llc Three-dimensional device with bonded structures including a support die and methods of making the same
US10985169B2 (en) * 2019-03-04 2021-04-20 Sandisk Technologies Llc Three-dimensional device with bonded structures including a support die and methods of making the same
US11164779B2 (en) * 2019-04-12 2021-11-02 International Business Machines Corporation Bamboo tall via interconnect structures
JP7297516B2 (ja) * 2019-04-25 2023-06-26 キヤノン株式会社 半導体装置および機器
US11562982B2 (en) * 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US11037908B2 (en) * 2019-07-25 2021-06-15 Sandisk Technologies Llc Bonded die assembly containing partially filled through-substrate via structures and methods for making the same
US11908836B2 (en) * 2021-01-13 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing semiconductor package

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9748206B1 (en) * 2016-05-26 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional stacking structure and manufacturing method thereof
US9768133B1 (en) * 2016-09-22 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
DE102018112657A1 (de) * 2017-07-27 2019-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitergehäuse und verfahren zu seinem herstellen
CN109309074A (zh) * 2017-07-27 2019-02-05 台湾积体电路制造股份有限公司 半导体封装件及其形成方法
CN109786348A (zh) * 2017-11-15 2019-05-21 台湾积体电路制造股份有限公司 形成具有凹槽的金属接合件

Also Published As

Publication number Publication date
KR102443350B1 (ko) 2022-09-16
CN112447646A (zh) 2021-03-05
US11756907B2 (en) 2023-09-12
US11264343B2 (en) 2022-03-01
US20230369262A1 (en) 2023-11-16
TWI769504B (zh) 2022-07-01
TW202123413A (zh) 2021-06-16
US20210066222A1 (en) 2021-03-04
US20220173059A1 (en) 2022-06-02
KR20210028115A (ko) 2021-03-11

Similar Documents

Publication Publication Date Title
CN112447646B (zh) 半导体器件、封装件及其形成方法
CN113540059B (zh) 封装的半导体器件及其形成方法
US11721598B2 (en) Method of forming semiconductor device package having testing pads on an upper die
US11664336B2 (en) Bonding structure and method of forming same
US9048231B2 (en) 3D packages and methods for forming the same
TWI783269B (zh) 封裝、半導體封裝及其形成方法
US11854921B2 (en) Integrated circuit package and method
CN111261608B (zh) 半导体器件及其形成方法
CN114464576A (zh) 半导体封装件和形成半导体封装件的方法
US20240071865A1 (en) Semiconductor package having an encapulant comprising conductive fillers and method of manufacture
CN112582389A (zh) 半导体封装件、封装件及其形成方法
CN220873580U (zh) 封装件
US20230420330A1 (en) Semiconductor Packages and Methods of Forming the Same
US20230095134A1 (en) Method and structure for a bridge interconnect

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant