CN115145857B - 接口协议转换器转换方法及用于执行方法的fpga系统 - Google Patents

接口协议转换器转换方法及用于执行方法的fpga系统 Download PDF

Info

Publication number
CN115145857B
CN115145857B CN202211076137.6A CN202211076137A CN115145857B CN 115145857 B CN115145857 B CN 115145857B CN 202211076137 A CN202211076137 A CN 202211076137A CN 115145857 B CN115145857 B CN 115145857B
Authority
CN
China
Prior art keywords
module
data
synchronous
interface
clock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202211076137.6A
Other languages
English (en)
Other versions
CN115145857A (zh
Inventor
肖泉建
张广拓
吴永波
马文霞
海淼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
707th Research Institute of CSIC
Original Assignee
707th Research Institute of CSIC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 707th Research Institute of CSIC filed Critical 707th Research Institute of CSIC
Priority to CN202211076137.6A priority Critical patent/CN115145857B/zh
Publication of CN115145857A publication Critical patent/CN115145857A/zh
Application granted granted Critical
Publication of CN115145857B publication Critical patent/CN115145857B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4282Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus
    • G06F13/4286Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus using a handshaking protocol, e.g. RS232C link
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/12Synchronisation of different clock signals provided by a plurality of clock generators
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/24Resetting means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4282Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus
    • G06F13/4291Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus using a clocked protocol
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2213/00Indexing scheme relating to interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F2213/0002Serial port, e.g. RS232C
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2213/00Indexing scheme relating to interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F2213/38Universal adapter
    • G06F2213/3852Converter between protocols

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Communication Control (AREA)

Abstract

本发明涉及导航电子技术领域,尤其涉及一种接口协议转换器转换方法及用于执行方法的FPGA系统,所述转换方法包括系统时钟模块,系统复位模块,同步时序模块,BISS‑C接收解码模块,数据锁存及同步控制模块,状态控制及数据处理模块,数字并口模块及UART发送模块,采用FPGA系统实现接口协议转换器所必须的BISS‑C协议解码、数据转换及控制及数字并口或UART协议处理输出这三部分内容,解决了基于双通道BISS‑C模块的数据同步采集发送问题。本发明同步精度高、转换延迟小、体积小、功耗低,具有很好的工程应用价值,可在其它惯性导航系统中应用。

Description

接口协议转换器转换方法及用于执行方法的FPGA系统
技术领域
本发明涉及导航电子技术领域,尤其涉及一种接口协议转换器转换方法及用于执行方法的FPGA系统。
背景技术
目前姿态测量有多种方案,其中绝对式光电编码器(BISS-C)作为位置反馈元件,因其具有精度高、可靠性好、体积小、重量轻、硬件接口简单和易于维护等优点,已经广泛应用,此类编码器通常采用BISS-C协议作为通讯协议,而常规的数字处理系统常以数字并行接口或RS422串行接口作为其输出接口,为实现数据正常通讯,需要设计将BISS-C协议转换为数字并行接口或RS422串行接口协议的协议转换器。
协议转换器能使数据在不同接口协议之间透明传输,使得采用不同接口协议的传感器与通用主机能相互合作。将BISS-C协议转换为数字并行接口或RS422串行接口协议的协议转换器在本课题的研究过程中处于较关键的位置,目前此类协议转换器没有通用产品,各个设备研制厂商一般采用设计专用硬件+专用软件的方式解决协议转换问题。此类协议转换器要解决的主要问题有三,一是BISS-C协议解码,二是处理器进行数据转换及控制;三是数字并口或串口(UART)协议处理输出。BISS-C协议解码可通过厂商提供的解码芯片、单片机、FPGA系统等进行。其中厂商提供的解码芯片价格较高、可拓展性差,而单片机由于其自身性能限制,通讯速率慢,FPGA系统具有灵活性高,可根据需求自主配置等优点;数据转换及控制任务可通过通用处理器、嵌入式处理器、FPGA系统等方式进行,其中通用处理器设计复杂度高,功耗大,采用较少;嵌入式处理器可以采用DSP或ARM等实现,具有功耗低、实时性好等优点,但需配置相应的处理软件,开发门槛较高,而采用FPGA系统处理,可与其他模块共享FPGA系统硬件,可实现小型化低功耗设计,具有优势。数字并口或UART协议处理输出功能可采用通用数字并口或UART芯片、FPGA系统设计实现。采用通用芯片实现具有性能稳定、开发过程简单等优势,为较多设计方案所采用,而采用FPGA系统实现,则对设计与验证要求较高,但相对来说,更能减少体积、降低功耗,能满足一些特定场合应用。
发明内容
本发明的目的是提供一种将BISS-C协议的角度传感器信息转换为数字并行接口及RS422串行接口协议的接口协议转换器方法,基于功耗、体积、功能、性能等指标要求进行总体方案设计,采用FPGA系统实现接口协议转换器所必须的BISS-C协议解码、数据转换及控制及数字并口或UART协议处理输出这三部分内容。
实现本发明目的技术方案, 本发明提供了一种接口协议转换器转换方法,所述接口协议转换器转换方法基于FPGA系统,所述FPGA系统包括系统时钟模块,系统复位模块,同步时序模块,BISS-C接收解码模块,数据锁存及同步控制模块,状态控制及数据处理模块,数字并口模块及UART发送模块,所述接口协议转换器转换方法包括如下步骤:
S1.外部时钟模块输出外部时钟给系统时钟模块,系统时钟模块接收到外部时钟后,应用锁相电路进行时钟处理及锁相,输出时钟稳定信号给系统复位模块,并输出全局时钟,全局时钟驱动同步时序模块,BISS-C接收解码模块,数据锁存及同步控制模块,状态控制及数据处理模块,数字并口模块及UART发送模块,进行同步,系统复位模块收到时钟稳定信号进行同步处理及滤波处理,输出全局复位信号,驱动同步时序模块,BISS-C接收解码模块,数据锁存及同步控制模块,状态控制及数据处理模块,数字并口模块及UART发送模块,进行同步复位工作,使上电起始工作状态各模块处于工作初始化状态;
S2. 同步时序模块在S1步骤输出的全局时钟驱动下产生同步控制信号,同时输出给两通道BISS-C接收解码模块,BISS-C接收解码模块在收到同步控制信号后,按同步控制要求提供给外部BISS-C协议传感器通讯时钟,并开始接收BISS-C协议串行数据,对接收到的BISS-C协议串行数据进行协议解析,输出解码后的数据及采集完成信号;
S3. 数据锁存及同步控制模块将接收到S2步骤的两通道解码后的数据及采集完成信号进行数据锁存处理,并将采集完成信号进行同步处理,输出同步数据和同步准备好信号,状态控制及数据处理模块收到同步数据和同步准备好信号后,将数据按字节进行处理,并补齐字节,以同步准备好信号启动发送流程,在流程中处理字节选择及取下一字节信号,完成同步后,向数字并口模块发送数字并口数据及并口控制信号,向UART发送模块发送UART数据及UART控制信号;
S4. 数字并口模块接收到S3步骤的数字并口数据及并口控制信号,实现数字并口输出,UART发送模块接收到S3步骤的UART数据及UART控制信号,在全局时钟驱动下,产生发送波特率的信号,组织数据帧格式、发送数据,输出发送数据及取下一字节信号。
S2中解码后的数据为26位并行数据。
S4步骤中的所述UART发送模块的容量为1K 深度FIFO。
本发明另一方面提供了一种FPGA系统,用于执行上述接口协议转换器转换方法,所述FPGA系统的硬件包括FPGA芯片、供电单元、配置单元、时钟单元及对外数据接口。
所述时钟单元为25MHz频率的片式晶体振荡器。
所述供电单元包括变换器、输入端及输出端,通过变换器在输入端输入DC5V,输出端输出分别为DC1.2V及DC3.3V,并在输入端及输出端之后进行滤波处理。
所述配置单元为SPI接口的FLASH芯片。
所述对外数据接口为两通道的BISS-C通信接口、一通道的串行接收接口、一通道的并行输出接口、一通道的串行输出接口。
所述并行输出接口由FPGA芯片实现输出。
所述BISS-C通信接口、所述串行接收接口及所述串行输出接口采用差分-TTL电平转换器件电路。
本发明的有益效果是:
本发明的优点之一是提出了一种将BISS-C协议的角度传感器信息转换为数字并行接口及RS422串行接口协议的协议转换器的独创性设计方法,可在不同的FPGA系统之间移植。该方法设计的协议转换器具备体积小、功耗低、转换延迟固定且小等优势,能够满足惯导设备小型化设计需求。
本发明的另一优点基于双通道BISS-C模块的数据同步采集发送设计技术,双通道同步精度达到0.1微秒,同步转换延迟为36微妙,提高了数据采集精度,满足低延时采集要求。
本发明设计了一种将BISS-C协议的角度传感器信息转换为数字并行接口及RS422串行接口协议的协议转换器的设计方法,基于功耗、体积、功能、性能等要求进行总体方案设计,采用FPGA系统实现接口协议转换器所必须的BISS-C协议解码、数据转换及控制及数字并口或UART协议处理输出这三部分内容。实施FPGA系统硬件设计,设计FPGA系统最小系统及输入输出接口,以此为基础构建协议转换器的设计框架,实现功能设计。重点解决了基于双通道BISS-C模块的数据同步采集发送问题。该技术仅需少量修改就能在其他FPGA系统之间移植,对平台类惯导设备具有较好的应用价值,有关同步设计技术也可为其他应用所参考,具有较好的工程应用前景。
本发明同步精度高、转换延迟小、体积小、功耗低,具有很好的工程应用价值,可在其它惯性导航系统中应用。
附图说明
图1是本发明接口协议转换器转换方法的流程图;
图2是本发明FPGA系统的硬件的时钟单元电路图;
图3是本发明FPGA系统的硬件的供电单元3.3V供电电路图;
图4是本发明FPGA系统的硬件的供电单元1.2V供电电路图;
图5是本发明FPGA系统的硬件的配置单元电路图;
图6是本发明一通道的BISS-C通信接口电路图;
图7是本发明另一通道的BISS-C通信接口电路图;
图8是本发明串行输出接口电路图;
图9是本发明串行接收接口电路图。
具体实施方式
为了使本技术领域的技术人员更好地理解本发明的技术方案,下面结合附图和最佳实施例对本发明作进一步的详细说明。
本发明的目的是提供一种将BISS-C协议的角度传感器信息转换为数字并行接口及RS422串行接口协议的接口协议转换器的设计方法,基于功耗、体积、功能、性能等指标要求进行总体方案设计,采用FPGA系统实现接口协议转换器所必须的BISS-C协议解码、数据转换及控制及数字并口或UART协议处理输出这三部分内容。实施FPGA系统硬件设计,设计FPGA系统最小系统及输入输出接口,建立接口协议转换器的实现基础,进行协议转换器的功能架构FPGA系统软件设计,建立协议转换器功能实现的原理框图,对各功能进行详细设计,现实功能。实施同步优化设计,实现协议转换器的同步性能指标。
实现本发明目的设计包括以下步骤:
1)FPGA系统硬件设计:
一种基于FPGA系统的协议转换器设计方法以FPGA系统硬件为基础,以FPGA系统最小系统+接口芯片的总体硬件架构,实现FPGA系统硬件设计;FPGA系统最小系统包含FPGA芯片、供电单元、配置单元及时钟单元等部分,能确保FPGA系统的编程功能实现。接口芯片主要是实现单端与差分之间转换及驱动功能。
a)FPGA系统最小系统设计:
FPGA系统最小系统选择以xilinx公司的FPGA芯片XC6SLX25_FTG256为核心,FPGA芯片所需的1.2V及3.3V由两种线性DC-DC变换器变换而得,变换器的输入为DC5V,输出分别为DC1.2V及DC3.3V,对于输入及输出都进行滤波处理。采用SPI接口的FLASH芯片对FPGA芯片进行配置,本发明采用M25P80的FLASH芯片;采用片式晶体振荡器为FPGA芯片提供外部精准时钟,本发明片式晶体振荡器芯片频率为25MHz。如图2、 图3、图4、图5所示。
b) 接口设计:
协议转换器的对外数据接口为两通道BISS-C通信接口、1通道串行接收接口、1通道并行输出接口、1通道串行输出接口。并行接口的设计直接由FPGA芯片实现输出,其余接口设计采用差分-TTL电平转换器件实现,详细设计如图6、图7、图8、图9所示。
2)协议转换器核心架构设计:
协议转换器核心架构设计是本发明的重点内容。其中BISS-C协议解码器设计、数据转换及控制所需处理器设计、数字并口和UART协议处理输出设计是其中的关键主干部分,在设计过程中,重点解决了数据同步问题及收发数据匹配问题。如图1所示。
接口协议解码器的工作过程如下所示:
a) 全局时钟与复位控制:
系统供电后,外部时钟模块输出外部时钟给FPGA系统的系统时钟模块,系统时钟模块接收到外部时钟后,应用锁相电路进行时钟处理及锁相,输出时钟稳定信号给系统复位模块,并输出全局时钟,全局时钟驱动FPGA系统的同步时序模块,BISS-C接收解码模块,数据锁存及同步控制模块,状态控制及数据处理模块,数字并口模块及UART发送模块,进行同步,系统复位模块收到时钟稳定信号进行同步处理及滤波处理,输出全局复位信号,驱动FPGA系统的同步时序模块,BISS-C接收解码模块,数据锁存及同步控制模块,状态控制及数据处理模块,数字并口模块及UART发送模块,进行同步复位工作,使上电起始工作状态各模块处于工作初始化状态。
b)BISS-C接收解码控制:
同步时序模块在S1步骤输出的全局时钟驱动下产生同步控制信号,同时输出给两通道BISS-C接收解码模块,BISS-C接收解码模块在收到同步控制信号后,按同步控制要求提供给外部BISS-C协议传感器通讯时钟,并开始接收BISS-C协议串行数据,对接收到的BISS-C协议串行数据进行协议解析,输出解码后的数据及采集完成信号。
c) 状态控制与数据处理:
数据锁存及同步控制模块将接收到S2步骤的两通道解码后的数据及采集完成信号进行数据锁存处理,并将采集完成信号进行同步处理,输出同步数据和同步准备好信号,状态控制及数据处理模块收到同步数据和同步准备好信号后,将数据按字节进行处理,并补齐字节,以同步准备好信号启动发送流程,在流程中处理字节选择及取下一字节信号,完成同步后,向数字并口模块发送数字并口数据及并口控制信号,向UART发送模块发送UART数据及UART控制信号。
d) 数据分发:
数字并口模块接收到S3步骤的数字并口数据及并口控制信号,实现数字并口输出,UART发送模块接收到S3步骤的UART数据及UART控制信号,在全局时钟驱动下,产生发送波特率的信号,组织数据帧格式、发送数据,输出发送数据及取下一字节信号。
其中为解决数据同步问题及收发数据匹配问题,实现协议转换器的同步性能指标,系统设计时采用如下技术,形成数据同步采集发送设计技术:1单时钟设计技术,设计中只使用一种时钟源全局时钟,各模块内部的时钟都是同源的。2同步设计技术,各模块之间的信号/数据传递采用同步设计;设计同步控制信号控制BISS-C同步采集;采集完成信号进行同步处理;串口及并口数据的同步输出控制。3应用生产者-消费者模型,分析BISS-C 数据接收与UART数据发送的数据规模匹配关系,设计1K深度FIFO,确保发送数据完整性。
本发明的优点之一是提出了一种将BISS-C协议的角度传感器信息转换为数字并行接口及RS422串行接口协议的协议转换器的独创性设计方法,可在不同的FPGA系统之间移植。该方法设计的协议转换器具备体积小、功耗低、转换延迟固定且小等优势,能够满足惯导设备小型化设计需求。
本发明的另一优点基于双通道BISS-C模块的数据同步采集发送设计技术,双通道同步精度达到0.1微秒,同步转换延迟为36微妙,提高了数据采集精度,满足低延时采集要求。
本发明设计了一种将BISS-C协议的角度传感器信息转换为数字并行接口及RS422串行接口协议的协议转换器的设计方法,基于功耗、体积、功能、性能等要求进行总体方案设计,采用FPGA系统实现接口协议转换器所必须的BISS-C协议解码、数据转换及控制及数字并口或UART协议处理输出这三部分内容。实施FPGA系统硬件设计,设计FPGA系统最小系统及输入输出接口,以此为基础构建协议转换器的设计框架,实现功能设计。重点解决了基于双通道BISS-C模块的数据同步采集发送问题。该技术仅需少量修改就能在其他FPGA系统之间移植,对平台类惯导设备具有较好的应用价值,有关同步设计技术也可为其他应用所参考,具有较好的工程应用前景。
本发明同步精度高、转换延迟小、体积小、功耗低,具有很好的工程应用价值,可在其它惯性导航系统中应用。
以上所述仅是本发明的优选实施方式,应当指出,对于本技术领域的普通技术人员来说,在不脱离本发明原理的前提下,还可以做出若干改进和润饰,这些改进和润饰也应视为本发明的保护范围。

Claims (10)

1.一种接口协议转换器转换方法,其特征在于,所述接口协议转换器转换方法基于FPGA系统,所述FPGA系统包括系统时钟模块、系统复位模块、同步时序模块、BISS-C接收解码模块、数据锁存及同步控制模块、状态控制及数据处理模块、数字并口模块及UART发送模块,所述接口协议转换器转换方法包括如下步骤:
S1.外部时钟模块输出外部时钟给系统时钟模块,系统时钟模块接收到外部时钟后,应用锁相电路进行时钟处理及锁相,输出时钟稳定信号给系统复位模块,并输出全局时钟,全局时钟驱动同步时序模块、BISS-C接收解码模块、数据锁存及同步控制模块、状态控制及数据处理模块、数字并口模块及UART发送模块,进行同步,系统复位模块收到时钟稳定信号进行同步处理及滤波处理,输出全局复位信号,驱动同步时序模块、BISS-C接收解码模块、数据锁存及同步控制模块、状态控制及数据处理模块、数字并口模块及UART发送模块,进行同步复位工作,使上电起始各模块处于工作初始化状态;
S2. 同步时序模块在S1步骤输出的全局时钟驱动下产生同步控制信号,同时输出给两通道BISS-C接收解码模块,BISS-C接收解码模块在收到同步控制信号后,按同步控制要求提供给外部BISS-C协议传感器通讯时钟,并开始接收BISS-C协议串行数据,对接收到的BISS-C协议串行数据进行协议解析,输出解码后的数据及采集完成信号;
S3. 数据锁存及同步控制模块将接收到S2步骤的两通道解码后的数据及采集完成信号进行数据锁存处理,并将采集完成信号进行同步处理,输出同步数据和同步准备好信号,状态控制及数据处理模块收到同步数据和同步准备好信号后,将数据按字节进行处理,并补齐字节,以同步准备好信号启动发送流程,在流程中处理字节选择及取下一字节信号,完成同步后,向数字并口模块发送数字并口数据及并口控制信号,向UART发送模块发送UART数据及UART控制信号;
S4. 数字并口模块接收到S3步骤的数字并口数据及并口控制信号,实现数字并口输出,UART发送模块接收到S3步骤的UART数据及UART控制信号,在全局时钟驱动下,发送波特率信号,组织数据帧格式、发送数据,输出发送数据及取下一字节信号。
2.根据权利要求1所述的接口协议转换器转换方法,其特征在于,S2中解码后的数据为26位并行数据。
3.根据权利要求1所述的接口协议转换器转换方法,其特征在于,S4步骤中的所述UART发送模块的容量为1K 深度FIFO。
4.一种FPGA系统,用于执行权利要求1-3中任一项所述的接口协议转换器转换方法,其特征在于,所述FPGA系统的硬件包括FPGA芯片、供电单元、配置单元、时钟单元及对外数据接口。
5.根据权利要求4所述的系统,其特征在于,所述时钟单元为25MHz频率的片式晶体振荡器。
6.根据权利要求4所述的系统,其特征在于,所述供电单元包括变换器、输入端及输出端,通过变换器在输入端输入DC5V,输出端输出分别为DC1.2V及DC3.3V,并在输入端及输出端之后进行滤波处理。
7.根据权利要求4所述的系统,其特征在于,所述配置单元为SPI接口的FLASH芯片。
8.根据权利要求5-7中任一项所述的系统,其特征在于,所述对外数据接口为两通道的BISS-C通信接口、一通道的串行接收接口、一通道的并行输出接口、一通道的串行输出接口。
9.根据权利要求8所述的系统,其特征在于,所述并行输出接口由FPGA芯片实现输出。
10.根据权利要求8所述的系统,其特征在于,所述BISS-C通信接口、所述串行接收接口及所述串行输出接口采用差分-TTL电平转换器件电路。
CN202211076137.6A 2022-09-05 2022-09-05 接口协议转换器转换方法及用于执行方法的fpga系统 Active CN115145857B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211076137.6A CN115145857B (zh) 2022-09-05 2022-09-05 接口协议转换器转换方法及用于执行方法的fpga系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202211076137.6A CN115145857B (zh) 2022-09-05 2022-09-05 接口协议转换器转换方法及用于执行方法的fpga系统

Publications (2)

Publication Number Publication Date
CN115145857A CN115145857A (zh) 2022-10-04
CN115145857B true CN115145857B (zh) 2022-11-18

Family

ID=83415633

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211076137.6A Active CN115145857B (zh) 2022-09-05 2022-09-05 接口协议转换器转换方法及用于执行方法的fpga系统

Country Status (1)

Country Link
CN (1) CN115145857B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN213363816U (zh) * 2020-11-13 2021-06-04 九江精密测试技术研究所 一种多协议兼容的角度采集系统
CN113190291A (zh) * 2021-05-25 2021-07-30 电子科技大学 一种基于片上网络数据采集的可配置协议转换系统及方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107124412A (zh) * 2017-04-27 2017-09-01 广东工业大学 一种biss协议数据解码方法及接口系统
CN207200759U (zh) * 2017-09-08 2018-04-06 科德数控股份有限公司 一种工业以太网接口的编码器转换装置
CN111078612A (zh) * 2019-11-08 2020-04-28 中国计量科学研究院 基于FPGA的biss-c协议的译码系统
CN110908942B (zh) * 2019-11-28 2021-09-07 武汉华之洋科技有限公司 基于fpga的多种编码器协议自由转换ip核及方法
CN112947163B (zh) * 2021-02-01 2022-08-05 贵州航天林泉电机有限公司 一种基于dsp的biss-c协议传感器数据解析与提取方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN213363816U (zh) * 2020-11-13 2021-06-04 九江精密测试技术研究所 一种多协议兼容的角度采集系统
CN113190291A (zh) * 2021-05-25 2021-07-30 电子科技大学 一种基于片上网络数据采集的可配置协议转换系统及方法

Also Published As

Publication number Publication date
CN115145857A (zh) 2022-10-04

Similar Documents

Publication Publication Date Title
WO2018017232A1 (en) Signaling camera configuration changes using metadata defined for a camera command set
KR20180011330A (ko) 광학 매체에 대한 저전력 모드 신호 브릿지
CN102621974B (zh) 基于通信总线的工业自动化实时控制装置及控制方法
GB2246494A (en) Method and apparatus for serial communications
CN111162984B (zh) 一种基于biss-c的控制方法、装置及通信系统
CN102315927A (zh) 一种时钟同步装置及方法
CN102314402A (zh) 一种数字强震仪及其多路数据采集接口
CN105892280B (zh) 一种卫星授时装置
CN113190291A (zh) 一种基于片上网络数据采集的可配置协议转换系统及方法
CN115145857B (zh) 接口协议转换器转换方法及用于执行方法的fpga系统
WO2019005389A1 (en) BIDIRECTIONAL I2S AUDIO DATA ALIGNMENT OF MULTIPLE FLOWS, MULTIPLE FLOWS AND TRANSMITTED BETWEEN INTEGRATED CIRCUITS
CN102098055B (zh) 一种数据波特率自适应数模转换装置
CN102445924A (zh) 一种一体化数控系统及一体化数控机床
CN211015499U (zh) 接口转换电路及接口转换装置
CN202710997U (zh) 一种用于伺服驱动系统的编码器接口ip核
CN116795758A (zh) 一种基于FPGA的多路同步Biss-C协议解码传输装置
CN202632782U (zh) 一种基于MicroBlaze软核的多路SSI数据采集模块
CN102929330B (zh) 用于产生usb外设时钟的电路及方法
CN105099561A (zh) 一种基于cpci的光纤数据传输卡
CN201063104Y (zh) 一种dsp与绝对式编码器通讯及解码的装置
CN112690816B (zh) 一种ct探测器构架及其信息处理方法、ct扫描仪
CN104656563B (zh) 一种脉冲型数控装置及方法
CN103401540A (zh) 基于tms320vc5402芯片的可编程脉宽调制产生器
CN210090679U (zh) 基于可编程门阵列电路的星载频率综合装置
CN202548601U (zh) 一种一体化数控系统及一体化数控机床

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant