CN115004329A - 用于选择性间隙填充的双等离子体预清洁 - Google Patents

用于选择性间隙填充的双等离子体预清洁 Download PDF

Info

Publication number
CN115004329A
CN115004329A CN202080094059.5A CN202080094059A CN115004329A CN 115004329 A CN115004329 A CN 115004329A CN 202080094059 A CN202080094059 A CN 202080094059A CN 115004329 A CN115004329 A CN 115004329A
Authority
CN
China
Prior art keywords
plasma
remote
direct
dielectric
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080094059.5A
Other languages
English (en)
Inventor
徐翼
呼宇飞
大东和也
雷雨
吴典晔
贾勒帕里·拉维
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115004329A publication Critical patent/CN115004329A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

描述了用于预清洁具有金属及介电表面的基板的方法。在处理腔室中将包括具有金属底部、介电侧壁和电介质的场的表面结构的基板暴露于双等离子体处理,以从该金属底部、所述介电侧壁、和/或该电介质的该场去除化学残留物和/或杂质,和/或修复所述介电侧壁和/或该电介质的该场中的表面缺陷。该双等离子体处理包括直接等离子体和远程等离子体。

Description

用于选择性间隙填充的双等离子体预清洁
技术领域
本公开内容的实施方式一般涉及用于在半导体中填充间隙的方法。特定地,本公开内容的实施方式涉及用于预清洁基板以改善金属沉积选择性的方法。
背景技术
内部连接金属化广泛用于逻辑和存储器装置。通常在通孔/沟槽间隙填充应用中使用衬膜,接着使用大容量沉积的CVD/PVD膜。然而,随着特征尺寸的减小,通孔/沟槽结构变小且衬膜的体积比增加,使得难以达成无缺陷和低电阻率的金属间隙填充。
选择性沉积处理运用沉积期间一种表面材料与另一种表面材料上的温育差异。该温育延迟可起杠杆作用以致能自底向上的间隙填充,而无需接缝/空隙和衬膜。然而,有一些挑战阻碍了该技术的广泛应用。例如,通孔底部和介电表面上的杂质可降低金属表面上的选择性金属生长相对于电介质场的选择性。当前采用不同的直接等离子体处理(例如H2等离子体和O2等离子体)来清洁表面污染物(例如氧、碳、氟、氯)的处理通常可在清洁效率和选择性之间进行权衡:当杂质和金属氧化物被完全去除,等离子体造成的损坏会降低后续沉积期间的选择性。
通常,有效清洁金属表面同时仍维持无或最小的场上的生长是防止广泛使用的主要挑战。同样,具有不同蚀刻残留物或污染物的不同表面结构可能需要不同的预清洁处理以致能选择性生长。
据此,在本领域中持续需要改善的方法和设备以预清洁基板表面以用于选择性沉积。
发明内容
本揭示案的一个或多个实施方式涉及预清洁的方法。在处理腔室中将包括具有金属底部、介电侧壁和电介质的场(field)的表面结构的基板暴露于双等离子体处理,以从该金属底部、所述介电侧壁和/或该电介质的该场去除化学残留物和/或杂质,和及/或修复所述介电侧壁和/或该电介质的该场中的表面缺陷。该双等离子体处理包括直接等离子体和远程等离子体。
本揭示案的额外实施方式涉及预清洁的方法。在包括双等离子体盖的处理腔室中将包括具有金属底部、介电侧壁和电介质的场的表面结构的基板暴露于双等离子体处理,以从该金属底部、所述介电侧壁和/或该电介质的该场去除化学残留物和/或杂质,和/或修复所述介电侧壁和/或该电介质的该场中的表面缺陷。该双等离子体处理包括直接等离子体和远程等离子体。
本揭示案的进一步实施方式涉及非暂时性计算机可读介质,包括指令以在由处理腔室的控制器执行时使该处理腔室执行以下操作:在处理腔室中将包括具有金属底部、介电侧壁和电介质的场的表面结构的基板暴露于双等离子体处理,以从该金属底部、所述介电侧壁和/或该电介质的该场去除化学残留物和/或杂质,和/或修复所述介电侧壁和/或该电介质的该场中的表面缺陷;其中该双等离子体处理包括直接等离子体和远程等离子体。
附图说明
因此,为了可详细地理解本公开内容的上述特征的方式,可通过参考实施方式来对本公开内容进行更详细的描述(简要地概述如上),其中一些图示在附图中。然而,应注意,附图仅图示了本公开内容的典型实施方式,且因此不应被视为是对其范围的限制,因为本公开内容可允许其他等效的实施方式。
图1展示了根据本公开内容的一个或多个实施方式的基板结构的示意图;
图2图示了根据本公开内容的一个或多个实施方式的方法的流程图;
图3图示了根据本公开内容的一个或多个实施方式的处理工具的示意图;及
图4图示了根据本公开内容的一个或多个实施方式的处理工具的示意图。
具体实施方式
在描述本公开内容的几个示例性实施方式之前,应理解,本公开内容不限于在以下描述中阐述的构造或处理步骤的细节。本公开内容能够具有其他实施方式且能够以各种方式被实现或实行。
如在本说明书和所附权利要求中所使用的,术语“基板”是指处理作用于其上的表面或表面的一部分。本领域技术人员还将理解的是,除非上下文另外明确指出,否则对基板的引用也可仅指基板的一部分。另外,提及在基板上沉积可意指裸基板和在其上沉积或形成有一个或多个膜或特征的基板。
如本文所用,“基板”是指在制造处理期间在其上执行膜处理的基板上形成的任何基板或材料表面。例如,可在其上执行处理的基板表面包括材料例如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂的氧化硅、非晶硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石、及任何其他材料,例如金属、金属氮化物、金属合金、及其他导电材料,取决于应用。基板包括但不限于半导体晶片。可将基板暴露于预处理的处理以抛光、蚀刻、还原、氧化、羟基化、退火、UV固化、电子束固化和/或烘烤基板表面。除了直接在基板本身的表面上进行膜处理之外,在本公开内容中,也可在形成于基板上的底层上执行所公开的任何膜处理步骤,如下面更详细地公开的,且术语“基板表面”旨在包括上下文所指示的底层。因此,例如,在膜/层或部分膜/层已沉积在基板表面上的情况下,新沉积的膜/层的暴露表面成为基板表面。
本公开内容的一个或多个实施方式有利地提供了用于更广泛的结构类型和选择性窗部的预清洁基板的方法。一些实施方式有利地提供了具有直接等离子体和远程等离子体的双等离子体处理,可同时满足预清洁效率和选择性。通过本文的清洁处理,有利地从先前处理去除了在电介质和金属表面两者上的残留物/杂质。而且,来自先前处理的金属表面上的金属氧化物被还原为纯金属。此后,达成良好的金属沉积处理效能,例如低的线电阻、良好的良率和高可靠性。在一个或多个实施方式中,在将直接和远程等离子体与氢(H2)、氧(O2)和其他气体组合在一起的双等离子体处理之后,改善了钨(W)沉积的选择性。在一个或多个实施方式中,能够同时执行直接和远程等离子体的双等离子体盖是处理腔室的一部分。
本揭示案的一些实施方式提供了用于在接触或通孔底部中进行选择性金属沉积处理的方法,所述方法需要清洁的表面而以最小的温育开始。在一些实施方式中,去除了可能会阻碍选择性沉积处理并引起显著的温育延迟的化学残留物和/或杂质,包括但不限于:金属氧化物/金属氮化物/金属碳化物等。一些实施方式可有效地清洁金属污染物,同时保持针对选择性处理而言优选的接触/通孔结构。
本公开内容的处理使用将直接和远程等离子体与氢(H2)、氧(O2)和其他气体组合的双等离子体处理。双等离子体盖的使用有利地分离了离子和自由基。
在处理期间,将基板暴露于等离子体处理以从金属底部、介电侧壁、和/或电介质的场去除化学残留物和/或杂质,和/或修复介电侧壁和/或电介质的场中的表面缺陷。双等离子体处理包括作为直接等离子体的一个等离子体和作为远程等离子体的另一等离子体。在一个或多个实施方式中,等离子体包括氢等离子体和氧等离子体。在一个或多个实施方式中,可依序地供应等离子体。在其他实施方式中,同时供应等离子体。
在一个或多个实施方式中,将基板暴露于双等离子体处理中处理或清洁基板。在一个或多个实施方式中,基板包括至少一个特征。至少一个特征可包括本领域技术人员已知的任何特征,包括但不限于沟槽、通孔或峰部。在将基板暴露于远程等离子体和/或直接等离子体处理或清洁基板的实施方式中,该处理或清洁例如从先前处理去除了残余物和/或天然氧化物中的一个或多个。
实验表明,同时使用直接和远程等离子体与H2、O2和其他气体清洁基板之后,选择性钨(W)沉积显示出较低的选择性损失缺陷数。实验还显示,结构场和侧壁的生长减少(由选择性损失引起),并达成了自底向上的生长。实验还达成了均匀、温和的内部结构处理,并在场和侧壁/底部上达成了相同的处理。
图1图示了根据一个或多个实施方式使用的接触结构。图1中所图示的基板100包括由第一材料102和第二材料104界定的结构130。在所图示的实施方式中,包括底部132和侧面134的结构130是通孔或沟槽。该结构在底部132上由第一材料102界定,且在侧面134上由与第一材料102不同的第二材料104界定。一些实施方式的第一材料102包括金属110,金属110形成结构的金属底部115。金属110可为任何合适的金属,包括但不限于钨(W)、钴(Co)和/或钌(Ru)。在一些实施方式中,结构130的第一材料102和底部132包括非金属。合适的非金属包括但不限于金属氮化物(例如,氮化钛(TiN))、金属硅化物(例如,硅化钛(TiSi))或硅(Si)。如本说明书和所附权利要求中所使用的,除非由下标另外指明,否则化学式代表元素同一性并且无意暗示任何特定的化学计量比。例如,氮化钛(TiN)膜可具有钛和氮原子的任何合适的组合,且不限于单一关系。
在一些实施方式中,第二材料104包括电介质120。结构130的侧壁134由电介质120的侧壁122形成。第二材料104的顶部表面106也称为场(field)。在一些实施方式中,第二材料104包括具有侧壁122和场124的电介质120。电介质120可为任何合适的材料,包括但不限于氧化硅(SiO)、二氧化硅(SiO2)、氮化硅(SiN)、氮氧化硅(SiON)、氮化铝(AlN)、氧化铝(AlO)或高k介电材料。在一些实施方式中,第二材料104包括硬掩模材料(例如,碳(C))。
图2图示了根据一个或多个实施方式的用于在选择性沉积之前预清洁基板的方法150。一些实施方式的表面污染物包括以下一者或多者:氧、氮、碳或卤素(例如,氟、氯、溴或碘)。在一些实施方式中,污染物包括有机化合物。
在操作152处,可选地将基板放置进入处理腔室。在操作154处,将基板暴露于直接等离子体。在操作156处,将基板暴露于远程等离子体。
在一个或多个实施方式中,双等离子体处理包括将基板暴露于直接等离子体,然后依序暴露于远程等离子体。
在示例性的非限制性实施方式中,清洁进程列包括:(1)在处理腔室中对基板进行直接等离子体处理,其中等离子体包括氢;(2)在处理腔室中对基板进行远程等离子体处理,其中等离子体包括氧。在一实施方式中,在(1)之后和(2)之前,清洁进程列进一步包括在处理腔室中对基板进行直接等离子体处理,其中等离子体包括氧。在一实施方式中,在(2)之后,清洁进程列进一步包括在处理腔室中对基板进行远程等离子体处理,其中等离子体包括氢。在一实施方式中,在(2)之后,清洁进程列进一步包括在处理腔室中对基板进行直接等离子体处理,其中等离子体包括氢,然后在处理腔室中对基板进行远程等离子体处理,其中等离子体包括氢。
在另一示例性非限制性实施方式中,清洁进程列包括进行以下等离子体处理:(1)直接氢等离子体;(2)直接氧等离子体处理;(3)远程氧等离子体;(4)远程氢等离子体。可选地,在(3)和(4)之间提供直接氢等离子体。
在示例性的非限制性实施方式中,清洁进程列包括:(1)在处理腔室中对基板进行直接等离子体处理,其中等离子体包括氢;(2)在处理腔室中对基板进行远程等离子体处理,其中等离子体包括氢。在(1)之后和(2)之前,其他实施方式可包括清洁进程列,进一步包括以下一者或多者:直接氧等离子体;远程氧等离子体;直接氢等离子体;远程氢等离子体。在一实施方式中,在(2)之后,清洁进程列进一步包括以下一者或多者:直接氧等离子体;远程氧等离子体;直接氢等离子体;远程氢等离子体。
在示例性的非限制性实施方式中,清洁进程列包括:(1)在处理腔室中对基板进行直接等离子体处理,其中等离子体包括氧;(2)在处理腔室中对基板进行远程等离子体处理,其中等离子体包括氧。在(1)之后和(2)之前,其他实施方式可包括清洁进程列,进一步包括以下一者或多者:直接氧等离子体;远程氧等离子体;直接氢等离子体;远程氢等离子体。在一实施方式中,在(2)之后,清洁进程列进一步包括以下一者或多者:直接氧等离子体;远程氧等离子体;直接氢等离子体;远程氢等离子体。
在另一示例性非限制性实施方式中,清洁进程列包括进行以下等离子体处理:(1)氢和氧的共流直接等离子体;(2)氢和氧的共流远程等离子体。
在一些实施方式中,氢(H2)等离子体是电容耦合等离子体(CCP)。在一些实施方式中,H2等离子体是低能量等离子体。
在一个或多个实施方式中,氢等离子体具有在1Torr至30Torr的范围中的压力。
在一些实施方式中,氧等离子体是电容耦合等离子体(CCP)。在一些实施方式中,氧(O2)等离子体是高密度、高能量等离子体。在一些实施方式中,低能量等离子体具有大于或等于100瓦至小于或等于600瓦的功率。
在一个或多个实施方式中,在暴露于氧等离子体期间,将基板维持在-20℃至500℃范围中的温度。
在一些实施方式中,氧等离子体具有在1Torr至30Torr的范围中的压力。
在操作156之后,可接着进一步处理基板以进行选择性金属沉积。在一个或多个实施方式中,在清洁处理之后,将基板暴露于金属的至少一个前体以在基板上选择性地形成金属膜。在一个或多个实施方式中,该方法进一步包括相对于等离子体增强化学气相沉积(PECVD)处理或等离子体增强原子层沉积处理(PEALD)将基板暴露于至少一个前体以在基板上沉积膜。可使用本领域技术人员已知的任何合适的前体以在基底上形成膜。
在一些实施方式中,图2中所图示的预清洁方法有效地清洁残留物并增强后续沉积处理的选择性。
可使用各种硬件布置以实作方法200。在一些实施方式中,为了表面清洁,可应用一个或两个腔室来达成多个处理。可使用腔室以用于具有不同气体种类的O2/Ar/H2等离子体处理。在一些实施方式中,在一个腔室中执行H2和O2处理。
图3图示了根据一个或多个实施方式的处理工具200。在一个或多个实施方式中,处理工具200包括处理腔室201。处理腔室包括盖202和至少一个侧壁204。在一个或多个实施方式中,盖202和至少一个侧壁204限定了处理腔室201的内部空间205。在一个或多个实施方式中,处理工具200包括处理腔室201的内部空间205内的远程等离子体单元206。在一个或多个实施方式中,直接等离子体单元208在处理腔室201的内部空间205内。在一个或多个实施方式中,远程等离子体单元206的其中一者产生远程等离子体,而直接等离子体单元208产生直接等离子体。在一个或多个实施方式中,远程等离子体的产生和直接等离子体的产生是依序发生的。在一些实施方式中,首先发生远程等离子体的产生,然后发生直接等离子体的产生。在其他实施方式中,首先发生直接等离子体的产生,然后发生远程等离子体的产生。在一个或多个实施方式中,远程等离子体的产生和直接等离子体的产生同时发生。
在一个或多个实施方式中,离子过滤器212将远程等离子体单元206和直接等离子体单元208分开。在一个或多个实施方式中,使用离子过滤器212以在从远程等离子体单元206传输至基板处理区域215期间从等离子体流出物过滤离子。在一个或多个实施方式中,离子过滤器212作用以减少或消除从远程等离子体单元206前往基板230的离子带电物质。在一个或多个实施方式中,不带电的中性和自由基物质可通过离子过滤器212中的至少一个孔218以在基板230处反应。应注意,完全消除围绕基板230的反应区域215中的离子带电物质并非总是期望的目标。在一个或多个实施方式中,需要离子物质到达基板230以便执行蚀刻和/或沉积处理。在这些情况下,离子过滤器212有助于将反应区域215中的离子物质的浓度控制在辅助处理/清洁和/或沉积处理的水平。
在一个或多个实施方式中,处理工具包括处理腔室内的至少一个电极。在一个或多个实施方式中,至少一个电极位于处理腔室201的内部空间205内。在图3中所图示的实施方式中,至少一个电极210被定位成与远程等离子体单元206电性连通。
在一个或多个实施方式中,处理腔室201包括基座214。在一个或多个实施方式中,基座214经配置以在处理区域215中支撑半导体基板230。在一个或多个实施方式中,基座214可具有热交换通过(未图标),热交换流体流经该热交换通道以控制基板230的温度。在一个或多个实施方式中,可冷却或加热基板230的温度以维持相对低的温度,例如从约-20℃至约400℃。在一个或多个实施方式中,热交换流体包括乙二醇或水的其中一者或多者。在其他实施方式中,经由使用嵌入式电阻加热器元件(未图标)将基座214电阻性加热以便达到相对高的温度,例如从约100℃至约1100℃,或从约200℃至约750℃。在一个或多个实施方式中,基座214经配置以旋转。在一个或多个实施方式中,基座214包括基座214内部内的电极216,且基座214由RF产生器250供电并由RF匹配器240匹配。在一个或多个实施方式中,基座214包括金属材料且本身为电极。
在一个或多个实施方式中,至少一个功率源(例如,RF产生器250)经由第一RF匹配器240和第二RF匹配器245电性连接到处理腔室201。
在一个或多个实施方式中,两个RF产生器250电性连接到处理腔室201。在这样的实施方式中,第一RF产生器250电性连接到基座电极216,且第二RF产生器255电性连接到顶部电极210。
在一个或多个实施方式中,使用射频(RF)供电的远程等离子体单元206和/或直接等离子体单元208来产生等离子体。在一个或多个实施方式中,交流电(AC)被整流并切换以向RF放大器提供电流。RF放大器以参考频率(例如,13.56MHz)操作,驱动电流经过输出匹配网络,接着经过功率测量电路至电源的输出。通常将输出匹配器设计为连接产生器,优化该产生器以驱动特定的阻抗(例如,50欧姆),以便具有与工业中常用的同轴电缆相同的特征阻抗。功率流经匹配的电缆区段,由匹配控制器进行测量,并经由负载匹配进行转换。负载匹配通常是电动自动调谐器,因此负载匹配操作会在系统适当配置之前产生预定的时间延迟。在通过负载匹配之后,功率接着被引导进入等离子体激发电路,该等离子体激发电路驱动抽空的处理腔室中的两个电极。将处理气体导入抽空的处理腔室,并在由电路驱动时产生等离子体。由于匹配网络或负载匹配是电动的,来自匹配网络的响应时间通常处于一秒或更长的数量级。
在一些实施方式中,等离子体功率在从约10W至约1000W的范围中,包括从约200W至约600W。在一些实施方式中,等离子体功率小于或等于约1000W,或小于或等于约6500W。
等离子体频率可为任何合适的频率。在一些实施方式中,等离子体具有在约200kHz到30MHz的范围中的频率。在一些实施方式中,等离子体频率小于或等于约20MHz,小于或等于约10MHz,小于或等于约5MHz,小于或等于约1000kHz,或小于或等于约500kHz。在一些实施方式中,等离子体频率大于或等于约210kHz,大于或等于约250kHz,大于或等于约600kHz,大于或等于约750MHz,大于或等于约1200kHz,大于或等于约2MHz,大于或等于约4MHz,大于或等于约7MHz,大于或等于约12MkHz,大于或等于约15MHz,或大于或等于约25MHz。在一个或多个实施方式中,等离子体具有频率约13.56MHz,或约350kHz,或约400kHz,或约27MHz,或约40MHz,或约60MHz。
在一个或多个实施方式中,可提供且耦合控制器220至处理工具200的各个部件以控制其操作。控制器220可为控制整个处理工具200的单个控制器,或控制处理工具200的各个部分的多个控制器。例如,处理工具200可包括用于处理腔室202、远程等离子体单元206、直接等离子体单元208、和功率源250的每一者的分开的控制器。
在一些实施方式中,处理腔室201进一步包括控制器220。在一个或多个实施方式中,控制器220由处理腔室201内的远程等离子体单元206和/或直接等离子体单元208来控制等离子体的点火。
在一些实施方式中,控制器220包括中央处理单元(CPU)222、存储器224、输入/输出(I/O)226和支持电路228。控制器220可直接或经由与特定处理腔室和/或支持系统部件相关联的计算机(或控制器)来控制处理工具200。
控制器220可为可在工业环境中用于控制各种腔室和子处理器的任何形式的通用计算机处理器的一者。控制器220的存储器224或计算机可读介质可为一种或多种容易获得的存储器,例如非暂时性存储器(例如,随机存取存储器(RAM))、只读存储器(ROM)、软盘、硬盘、光学存储介质(例如,光盘或数字视频光盘)、快闪驱动器、或任何其他形式的本地或远程数字存储。存储器224可保留可由处理器(CPU 222)操作以控制处理工具200的参数和部件的指令集。
支持电路228耦合到CPU 222以用于以常规方式支持处理器。这些电路包括高速缓存、电源、时钟电路、输入/输出电路系统和子系统等。一个或多个处理可作为软件例程存储在存储器224中,在由处理器执行或调用时该软件例程使处理器以本文所述方式控制处理工具200或各个处理单元(例如,远程等离子体单元206和直接等离子体单元208)的操作。也可由被CPU 222控制的硬件远程定位的第二CPU(未展示)来存储和/或执行软件例程。
也可在硬件中执行本公开内容的一些或所有处理和方法。这样,处理可以软件实作且可使用计算机系统以硬件(例如,专用集成电路或其他类型的硬件实作)或以软件和硬件的组合来执行。当由处理器执行时,软件例程将通用计算机转换成控制腔室操作以执行处理的专用计算机(控制器)。
在一些实施方式中,控制器220具有一个或多个配置以执行个别的处理或子处理以执行方法。控制器220可连接到且经配置以操作中间部件以执行方法的功能。例如,控制器220可连接至且经配置以控制远程等离子体单元206、直接等离子体单元208、基座214、至少一个电极、或其他部件的其中一者或多者。
图4图示了根据一个或多个实施方式的处理工具300。在一个或多个实施方式中,处理工具300包括处理腔室301。处理腔室包括盖302和至少一个侧壁304。在一个或多个实施方式中,盖302和至少一个侧壁304限定了处理腔室301的内部空间305。在一个或多个实施方式中,处理工具300包括在处理腔室301的内部空间305内的远程等离子体单元306。在一个或多个实施方式中,直接等离子体单元308在处理腔室301的内部空间305内。在一个或多个实施方式中,远程等离子体单元306的其中一者产生远程等离子体,而直接等离子体单元308产生直接等离子体。在一个或多个实施方式中,远程等离子体的产生和直接等离子体的产生是依序发生的。在一些实施方式中,首先发生远程等离子体的产生,然后发生直接等离子体的产生。在其他实施方式中,首先发生直接等离子体的产生,然后发生远程等离子体的产生。在一个或多个实施方式中,远程等离子体的产生和直接等离子体的产生同时发生。
在一个或多个实施方式中,离子过滤器312将远程等离子体单元306和直接等离子体单元308分开。在一个或多个实施方式中,使用离子过滤器312以在从远程等离子体单元306传输至基板处理区域315期间从等离子体流出物过滤离子。在一个或多个实施方式中,离子过滤器312作用以减少或消除从远程等离子体单元306前往基板330的离子带电物质。在一个或多个实施方式中,不带电的中性和自由基物质可通过离子过滤器312中的至少一个孔318以在基板330处反应。应注意,完全消除围绕基板330的反应区域315中的离子带电物质并非总是期望的目标。在一个或多个实施方式中,需要离子物质到达基板330以便执行蚀刻和/或沉积处理。在这些情况下,离子过滤器312有助于将反应区域315中的离子物质的浓度控制在辅助处理/清洁和/或沉积处理的水平。
在一个或多个实施方式中,离子过滤器312包括喷头。
在一个或多个实施方式中,处理工具包括处理腔室内的至少一个电极。在一个或多个实施方式中,至少一个电极位于处理腔室301的内部空间305内。在图4中所图示的实施方式中,至少一个电极316被定位成与基座314电性连通。
在一个或多个实施方式中,处理腔室301包括基座314。在一个或多个实施方式中,基座314经配置以在处理区域315中支撑半导体基板330。在一个或多个实施方式中,基座314可具有热交换通道(未图标),热交换流体流经该热交换通道以控制基板330的温度。在一个或多个实施方式中,可冷却或加热基板330的温度以维持相对低的温度,例如从约-20℃至约400℃,或从约0℃至约400℃。在一个或多个实施方式中,热交换流体包括乙二醇或水的其中一者或多者。在其他实施方式中,经由使用嵌入式电阻加热器元件(未图标)将基座314电阻性加热以便达到相对高的温度,例如从约100℃至约1100℃,或从约200℃至约750℃。在一个或多个实施方式中,基座314经配置以旋转。在一个或多个实施方式中,基座314包括基座314内部内的电极316,且基座314由RF产生器350供电并由RF匹配器340匹配。在一个或多个实施方式中,基座314包括金属材料且本身为电极。
在一个或多个实施方式中,至少一个功率源(例如,RF产生器350)经由RF匹配器340电性连接到处理腔室301。
在一个或多个实施方式中,两个RF产生器电性连接到处理腔室301。在这样的实施方式中,第一RF产生器350电性连接到基座电极316,且第二RF产生器355电性连接到电感耦合等离子体(ICP)线圈370。
在一个或多个实施方式中,使用射频(RF)供电的远程等离子体单元306和/或直接等离子体单元308来产生等离子体。在一个或多个实施方式中,交流电(AC)被整流并切换以向RF放大器提供电流。RF放大器以参考频率(例如,13.56MHz)操作,驱动电流经过输出匹配网络,接着经过功率测量电路至电源的输出。通常将输出匹配器设计为连接产生器,优化该产生器以驱动特定的阻抗(例如,50欧姆),以便具有与工业中常用的同轴电缆相同的特征阻抗。功率流经匹配的电缆区段,由匹配控制器进行测量,并经由负载匹配进行转换。负载匹配通常是电动自动调谐器,因此负载匹配操作会在系统适当配置之前产生预定的时间延迟。在通过负载匹配之后,功率接着被引导进入等离子体激发电路,该等离子体激发电路驱动抽空的处理腔室中的两个电极。将处理气体导入抽空的处理腔室,并在由电路驱动时产生等离子体。由于匹配网络或负载匹配是电动的,来自匹配网络的响应时间通常处于一秒或更长的数量级。
在一些实施方式中,等离子体功率在从约10W至约1000W的范围中,包括从约200W至约600W。在一些实施方式中,等离子体功率小于或等于约1000W,或小于或等于约6500W。
等离子体频率可为任何合适的频率。在一些实施方式中,等离子体具有在约200kHz到30MHz的范围中的频率。在一些实施方式中,等离子体频率小于或等于约20MHz,小于或等于约10MHz,小于或等于约5MHz,小于或等于约1000kHz,或小于或等于约500kHz。在一些实施方式中,等离子体频率大于或等于约210kHz,大于或等于约250kHz,大于或等于约600kHz,大于或等于约750MHz,大于或等于约1200kHz,大于或等于约2MHz,大于或等于约4MHz,大于或等于约7MHz,大于或等于约12MkHz,大于或等于约15MHz,或大于或等于约25MHz。在一个或多个实施方式中,等离子体具有频率约13.56MHz,或约350kHz,或约400kHz,或约27MHz,或约40MHz,或约60MHz。
在一个或多个实施方式中,可提供且耦合控制器320至处理工具300的各个部件以控制其操作。控制器320可为控制整个处理工具300的单个控制器,或控制处理工具300的各个部分的多个控制器。例如,处理工具300可包括用于处理腔室301、远程等离子体单元306、直接等离子体单元308、和功率源350的每一者的分开的控制器。
在一些实施方式中,处理腔室301进一步包括控制器320。在一个或多个实施方式中,控制器320由处理腔室301内的远程等离子体单元306和/或直接等离子体单元308来控制等离子体的点火。
在一些实施方式中,控制器320包括中央处理单元(CPU)322、存储器324、输入/输出(I/O)326和支持电路328。控制器320可直接或经由与特定处理腔室和/或支持系统部件相关联的计算机(或控制器)来控制处理工具300。
控制器320可为可在工业环境中用于控制各种腔室和子处理器的任何形式的通用计算机处理器之一者。控制器320的存储器324或计算机可读介质可为一种或多种容易获得的存储器,例如非暂时性存储器(例如,随机存取存储器(RAM))、只读存储器(ROM)、软盘、硬盘、光学存储介质(例如,光盘或数字视频光盘)、快闪驱动器、或任何其他形式的本地或远程数字存储。存储器324可保留可由处理器(CPU 322)操作以控制处理工具300的参数和部件的指令集。
支持电路328耦合到CPU 322以用于以常规方式支持处理器。这些电路包括高速缓存、电源、时钟电路、输入/输出电路系统和子系统等。一个或多个处理可作为软件例程存储在存储器324中,在由处理器执行或调用时该软件例程使处理器以本文所述方式控制处理工具300或各个处理单元(例如,远程等离子体单元306和直接等离子体单元308)的操作。也可由被CPU 322控制的硬件远程定位的第二CPU(未展示)来存储和/或执行软件例程。
也可在硬件中执行本公开内容的一些或所有处理和方法。这样,处理可以软件实作且可使用计算机系统以硬件(例如,专用集成电路或其他类型的硬件实作)或以软件和硬件的组合来执行。当由处理器执行时,软件例程将通用计算机转换成控制腔室操作以执行处理的专用计算机(控制器)。
在一些实施方式中,控制器320具有一个或多个配置以执行个别的处理或子处理以执行方法。控制器320可连接到且经配置以操作中间部件以执行方法的功能。例如,控制器320可连接至且经配置以控制远程等离子体单元306、直接等离子体单元308、基座314、至少一个电极316、ICP线圈370、或其他部件的其中一者或多者。
本公开内容的额外实施方式涉及具有一个或多个处理腔室的处理系统。该系统的处理腔室包括冷却的基座。在一个或多个实施方式中,处理腔室包括图3或图4的任一者的处理工具。
一个或多个实施方式涉及非暂时性计算机可读介质,包括指令以在由处理腔室的控制器执行时,使处理腔室执行以下操作:在处理腔室中将包括具有金属底部、介电侧壁、及电介质的场的表面结构的基板暴露于双等离子体处理,以从该金属底部、所述介电侧壁、和/或该电介质的该场去除化学残留物和/或杂质,和/或修复所述介电侧壁和/或该电介质的该场中的表面缺陷;其中该双等离子体处理包括直接等离子体,接着远程等离子体。
在整个说明书中,对“一个实施方式”、“某些实施方式”、“一个或多个实施方式”或“一实施方式”的引用是指结合该实施方式描述的特定特征、结构、材料、或特性被包括在本公开内容的至少一个实施方式中。因此,在整个说明书中各处出现的短语例如“在一个或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在一实施方式中”不一定指代本公开内容的相同实施方式。此外,在一个或多个实施方式中,可以任何合适的方式组合特定的特征、结构、材料、或特性。
尽管已参考特定实施方式描述了本文公开内容,本领域技术人员将理解,所描述的实施方式仅是本公开内容的原理和应用的说明。对于本领域技术人员将显而易见的是,在不脱离本公开内容的精神和范围的情况下,可对本公开内容的方法和设备进行各种修改和变化。因此,本公开内容可包括在所附权利要求及其等同物的范围内的修改和变化。

Claims (20)

1.一种预清洁的方法,包括以下步骤:
在处理腔室中将包括具有金属底部、介电侧壁和电介质的场的表面结构的基板暴露于双等离子体处理,以从所述金属底部、所述介电侧壁和/或所述电介质的所述场去除化学残留物和/或杂质,和/或修复所述介电侧壁和/或所述电介质的所述场中的表面缺陷;
其中所述双等离子体处理包括直接等离子体和远程等离子体。
2.如权利要求1所述的方法,其中所述直接等离子体包括氢等离子体,且所述远程等离子体包括氧等离子体。
3.如权利要求2所述的方法,其中所述双等离子体处理进一步包括以下一者或多者:直接氧等离子体、远程氧等离子体、直接氢等离子体和远程氢等离子体。
4.如权利要求1所述的方法,其中所述直接等离子体包括氧等离子体,且所述远程等离子体包括氢等离子体。
5.如权利要求4所述之方法,其中所述双等离子体处理进一步包括以下一者或多者:直接氧等离子体、远程氧等离子体、直接氢等离子体和远程氢等离子体。
6.如权利要求1所述的方法,其中所述直接等离子体包括氧等离子体,且所述远程等离子体包括氧等离子体。
7.如权利要求6所述的方法,其中所述双等离子体处理进一步包括以下一者或多者:直接氧等离子体、远程氧等离子体、直接氢等离子体和远程氢等离子体。
8.如权利要求1所述的方法,其中所述直接等离子体包括氢等离子体,且所述远程等离子体包括氢等离子体。
9.如权利要求8所述的方法,其中所述双等离子体处理进一步包括以下一者或多者:直接氧等离子体、远程氧等离子体、直接氢等离子体和远程氢等离子体。
10.如权利要求1所述的方法,其中所述电介质包括以下一者或多者:氮化硅(SiN)、氧化硅(SiO)、氮氧化硅(SiON)、或高k电介质。
11.如权利要求1所述的方法,其中所述金属包括以下一者或多者:钨(W)、钴(Co)、或钌(Ru)。
12.如权利要求1所述的方法,其中所述电介质包括氧化硅(SiO)、氮化硅(SiN)、和/或氮氧化硅(SiON),且所述金属包括钨(W)。
13.如权利要求1所述的方法,其中所述处理腔室包括双等离子体盖。
14.如权利要求1所述的方法,其中所述基板在远程氧等离子体之前暴露于直接氢等离子体。
15.如权利要求1所述的方法,其中所述基板在远程氢等离子体之前暴露于直接氧等离子体。
16.一种非暂时性计算机可读介质,包括指令以在由处理腔室的控制器执行时使所述处理腔室执行以下操作:
在处理腔室中将包括具有金属底部、介电侧壁和电介质的场的表面结构的基板暴露于双等离子体处理,以从所述金属底部、所述介电侧壁和/或所述电介质的所述场去除化学残留物和/或杂质,和/或修复所述介电侧壁和/或所述电介质的所述场中的表面缺陷;
其中所述双等离子体处理包括直接等离子体和远程等离子体。
17.如权利要求16所述的非暂时性计算机可读介质,进一步包括指令以在由处理腔室的控制器执行时使所述处理腔室执行以下操作:将所述基板暴露于至少一个前体以在所述基板上形成膜。
18.如权利要求16所述的非暂时性计算机可读介质,进一步包括指令以在由处理腔室的控制器执行时使所述处理腔室执行以下操作:将所述基板暴露于直接氧等离子体和远程氢等离子体。
19.如权利要求16所述的非暂时性计算机可读介质,进一步包括指令以在由处理腔室的控制器执行时使所述处理腔室执行以下操作:将所述基板暴露于直接氧等离子体和远程氢等离子体。
20.如权利要求16所述的非暂时性计算机可读介质,进一步包括指令以在由处理腔室的控制器执行时使所述处理腔室执行以下操作:将所述基板暴露于氢与氧的共流的直接等离子体,及氢与氧的共流的远程等离子体。
CN202080094059.5A 2019-11-27 2020-11-23 用于选择性间隙填充的双等离子体预清洁 Pending CN115004329A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201962941148P 2019-11-27 2019-11-27
US62/941,148 2019-11-27
US202062960293P 2020-01-13 2020-01-13
US62/960,293 2020-01-13
US202063042275P 2020-06-22 2020-06-22
US63/042,275 2020-06-22
PCT/US2020/061763 WO2021108297A1 (en) 2019-11-27 2020-11-23 Dual plasma pre-clean for selective gap fill

Publications (1)

Publication Number Publication Date
CN115004329A true CN115004329A (zh) 2022-09-02

Family

ID=75974509

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080094059.5A Pending CN115004329A (zh) 2019-11-27 2020-11-23 用于选择性间隙填充的双等离子体预清洁

Country Status (5)

Country Link
US (2) US11721542B2 (zh)
KR (1) KR20220108111A (zh)
CN (1) CN115004329A (zh)
TW (1) TW202135126A (zh)
WO (1) WO2021108297A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380536B2 (en) * 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5976993A (en) 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
JP2976965B2 (ja) 1998-04-02 1999-11-10 日新電機株式会社 成膜方法及び成膜装置
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6450116B1 (en) 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
WO2003029513A1 (en) 2001-09-28 2003-04-10 Tokyo Electron Limited Hybrid plasma processing apparatus
AU2002366943A1 (en) 2001-12-20 2003-07-09 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
JP2004247675A (ja) * 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
KR100655445B1 (ko) 2005-10-04 2006-12-08 삼성전자주식회사 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비
KR100653217B1 (ko) 2006-05-29 2006-12-04 주식회사 아이피에스 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
JP2009238878A (ja) 2008-03-26 2009-10-15 Seiko Epson Corp プラズマ処理装置
US20160358784A1 (en) * 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
DE112013003293B4 (de) 2012-06-29 2020-09-24 Canon Anelva Corporation Ionenstrahlbearbeitungsverfahren und Ionenstrahlbearbeitungsgerät
KR101379701B1 (ko) 2012-11-28 2014-04-01 한국표준과학연구원 기판 처리 장치 및 기판 처리 방법
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
KR102109679B1 (ko) 2013-11-07 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
JP6625891B2 (ja) 2016-02-10 2019-12-25 株式会社日立ハイテクノロジーズ 真空処理装置
WO2019133272A1 (en) 2017-12-27 2019-07-04 Mattson Technology, Inc. Plasma processing apparatus and methods
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US10510553B1 (en) 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
KR20210123409A (ko) 2019-02-28 2021-10-13 램 리써치 코포레이션 측벽 세정을 사용한 이온 빔 에칭
WO2021055693A1 (en) 2019-09-18 2021-03-25 Mattson Technology, Inc. Methods for the treatment of workpieces
KR20210042694A (ko) 2019-10-10 2021-04-20 삼성전자주식회사 전자 빔 발생기, 이를 갖는 플라즈마 처리 장치 및 이를 이용한 플라즈마 처리 방법

Also Published As

Publication number Publication date
TW202135126A (zh) 2021-09-16
US20220319837A1 (en) 2022-10-06
US20210159070A1 (en) 2021-05-27
US11721542B2 (en) 2023-08-08
KR20220108111A (ko) 2022-08-02
WO2021108297A1 (en) 2021-06-03

Similar Documents

Publication Publication Date Title
US10714320B2 (en) Plasma processing method including cleaning of inside of chamber main body of plasma processing apparatus
US11101174B2 (en) Gap fill deposition process
US9805914B2 (en) Methods for removing contamination from surfaces in substrate processing systems
US11791181B2 (en) Methods for the treatment of workpieces
US20080076268A1 (en) Fluorine plasma treatment of high-k gate stack for defect passivation
US20100105215A1 (en) Method of modifying insulating film
KR20130066636A (ko) 실리콘 막들에 대한 선택적인 식각
US10504779B2 (en) Hydrogenation and nitridization processes for reducing oxygen content in a film
KR100656214B1 (ko) 플라즈마 처리 방법
KR101713336B1 (ko) 라이너의 제거 처리 방법
TW201907480A (zh) 形成鈦矽化物區域之方法
US20220319837A1 (en) Dual plasma pre-clean for selective gap fill
US8758638B2 (en) Copper oxide removal techniques
CN115335980A (zh) 掺杂的ald氮化钽中的杂质移除
CN114981952A (zh) 用于选择性金属间隙填充的多步骤预清洁
WO2024112433A1 (en) Batch processing chambers for plasma-enhanced deposition
US11955319B2 (en) Processing chamber with multiple plasma units
TWI505360B (zh) 用於氟碳化物膜之金屬碳化物阻障層的形成方法
JP2018157188A (ja) 被加工物を処理する方法
TW202322214A (zh) 金屬蝕刻方法
TW202027171A (zh) 用於改良膜的有效氧化物厚度之氫化與氮化製程

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination