TW202027171A - 用於改良膜的有效氧化物厚度之氫化與氮化製程 - Google Patents

用於改良膜的有效氧化物厚度之氫化與氮化製程 Download PDF

Info

Publication number
TW202027171A
TW202027171A TW108137856A TW108137856A TW202027171A TW 202027171 A TW202027171 A TW 202027171A TW 108137856 A TW108137856 A TW 108137856A TW 108137856 A TW108137856 A TW 108137856A TW 202027171 A TW202027171 A TW 202027171A
Authority
TW
Taiwan
Prior art keywords
layer
plasma
excited
metal nitride
species
Prior art date
Application number
TW108137856A
Other languages
English (en)
Other versions
TWI739176B (zh
Inventor
候達 葛勞伊
喬哈那斯S 史文保
煒 劉
史蒂芬Ch 洪
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/244,051 external-priority patent/US10510545B2/en
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202027171A publication Critical patent/TW202027171A/zh
Application granted granted Critical
Publication of TWI739176B publication Critical patent/TWI739176B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文描述的實施例通常係關於賦能形成具有與經由習知方法形成的類似結構相比減小的有效氧化物厚度的金屬閘結構。電漿氫化製程及隨後的電漿氮化製程、或單步電漿氫化及氮化製程在膜堆疊中的金屬氮化物層上執行,由此根據一些實施例,去除在膜堆疊層內設置的氧原子,並且在一些實施例中,將氮原子添加到膜堆疊層。因此,金屬閘結構的有效氧化物厚度減小,而有極少或沒有伴隨的平帶電壓偏移。

Description

用於改良膜的有效氧化物厚度之氫化與氮化製程
本文描述的實施例通常係關於一種用於處理半導體基板的方法及設備,並且更特定而言,關於改良膜的有效氧化物厚度之氫化與氮化製程。
在積體電路中,非常需要諸如金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor; MOSFET)的較小電晶體。首先,較小電晶體賦能在給定晶片區域中形成更多電晶體,由此減小晶片尺寸。其次,較小電晶體通常可比較大電晶體更快地切換,由此改良晶片效能。
一用於減小MOSFET的尺寸的方法係按比例縮放,其中按比例減小重要的元件尺寸,諸如電晶體長度、電晶體寬度、及氧化物(或介電質)厚度。在此方法中,電晶體通道電阻不隨著電晶體大小減小而改變,而電晶體的閘極電容及RC延遲與尺寸減小成比例地降低。
然而,儘管在MOSFET中的介電質厚度減小對於將MOSFET按比例縮小為未來技術節點所需的尺寸而言係關鍵的,但亦存在重要折衷。具體而言,隨著MOSFET中的習知氧化物/氮氧化物介電層的厚度的線性減小,閘極洩漏存在指數增加,從而導致增加的功率消耗。此外,介電層的厚度現接近少數個原子層,從而產生了可靠性問題。因此,使電晶體中的氧化物厚度或有效氧化物厚度(effective oxide thickness; EOT)可在閘極洩漏不存在指數增加的情況下減小的任何方式都係非常需要的。此需要及其他需要在本揭示案中解決。
本文所描述的實施例通常係關於用於減少半導體元件中的導電結構中的界面及主體O原子的連續氫化及氮化製程。在一個實施例中,提供了一種在半導體元件中形成結構的方法,該方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數介電層上沉積覆蓋層以形成結構的一部分,所沉積的覆蓋層具有已暴露表面;及將已暴露表面暴露於電漿激發的氫物種及電漿激發的氮物種。基板的部分包括覆蓋層及高介電常數介電質。
在一個實施例中,一種在半導體元件中形成結構的方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數金屬介電層上沉積金屬氮化物層以形成結構的一部分,其中該部分包括金屬氮化物層及高介電常數金屬介電層並且具有第一有效氧化物厚度,並且其中所沉積的金屬氮化物層具有已暴露表面;將已暴露表面連續地暴露於非氧化的電漿激發的氫物種,隨後暴露於電漿激發的氮物種以將第一有效氧化物厚度減小到第二有效氧化物厚度。
在另一實施例中,一種在半導體元件中形成結構的方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數金屬介電層上沉積金屬氮化物層;將已暴露表面連續地暴露於電漿激發的氫物種,隨後暴露於電漿激發的氮物種;在將已暴露表面連續地暴露於電漿激發的氫物種,隨後暴露於電漿激發的氮物種之後,將已暴露表面暴露於空氣;及在將已暴露表面暴露於空氣之後,在高介電常數介電層及金屬氮化物層上在特定溫度下執行熱退火製程達特定時間。
在另一實施例中,一種在半導體元件中形成結構的方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數金屬介電層上沉積金屬氮化物層以形成基板的一部分,其中該部分包括金屬氮化物層及高介電常數金屬介電層並且具有第一有效氧化物厚度,並且其中所沉積的金屬氮化物層具有已暴露表面;藉由將已暴露表面連續地暴露於非氧化的電漿激發的氫物種,隨後暴露於電漿激發的氮物種,將第一有效氧化物厚度減小至第二有效氧化物厚度。
在另一實施例中,提供了一種在半導體元件中形成結構的方法,該方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數金屬介電層上沉積覆蓋層;將覆蓋層的已暴露表面暴露於電漿激發的氫物種及電漿激發的氮物種;將已暴露表面暴露於空氣;及在高介電常數介電層及覆蓋層上在特定溫度下執行熱退火製程達特定時間。
在另一實施例中,提供了一種在半導體元件中形成結構的方法,該方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數介電層上沉積覆蓋層以形成結構的一部分,其中所沉積的覆蓋層具有已暴露表面;及將已暴露表面暴露於電漿激發的氫物種及電漿激發的氮物種,其中電漿激發的氫物種包括氨,並且電漿激發的氮物種包括氮氣(N2 )。結構的部分包括覆蓋層及高介電常數介電質。
在另一實施例中,提供了一種在半導體元件中形成結構的方法,包括:在基板表面上方形成的高介電常數介電層上沉積金屬氮化物覆蓋層;及將所沉積的金屬氮化物覆蓋層的已暴露表面暴露於包含第一氣體及第二氣體的電漿,第一氣體包括含氫物種,第二氣體包括含氮物種,其中在第一氣體中的含氫物種包括氮。
在另一實施例中,提供了一種在半導體元件中形成結構的方法,包括:在半導體基板上沉積高介電常數介電層;在高介電常數介電層上沉積覆蓋層;將覆蓋層的已暴露表面暴露於電漿激發的氫物種及電漿激發的氮物種;將已暴露表面暴露於空氣;及在高介電常數介電層及覆蓋層上在特定溫度下執行熱退火製程達特定時間。
在另一實施例中,提供了一種在半導體元件中形成結構的方法,包括:在半導體基板上沉積高介電常數介電層;在高介電常數介電層上沉積覆蓋層以形成結構的一部分,其中該部分包括覆蓋層及高介電常數介電層,並且其中所沉積的覆蓋層具有已暴露表面;及將已暴露表面暴露於電漿激發的氫物種及電漿激發的氮物種,其中電漿激發的氫物種包括氨,並且電漿激發的氮物種包括氮氣(N2 )。
本文所描述的實施例通常係關於用於在基板上形成的半導體元件內的結構中氮化層的方法及設備。單步電漿氫化及氮化製程可在金屬層或導電結構中包括的金屬層堆疊上執行,該等金屬層例如在沉積金屬覆蓋層之前熱退火的金屬層。在各個實施例中,在熱退火製程之前、在熱退火製程之後、或在熱退火製程之前及之後,可執行單步電漿氫化及氮化製程。在各個實施例中,在導電結構中的氮原子濃度有利地增加,由此減小在導電結構中的電阻。在第1圖中示出一個此種導電結構。具有減少的界面及主體氧的導電結構
第1圖示出了根據本揭示案的一實施例的在半導體基板110上形成作為半導體元件的部分的導電結構100或接觸結構的橫截面圖。導電結構100可係經配置為傳導電流的半導體元件的任何部分,並且由此獲益於減小的電阻。在第1圖中示出的實施例中,將導電結構100繪示為用於向源極或汲極結構101提供電氣接觸的接觸結構,且在已經形成導電結構100之後圖示,並且諸如化學機械拋光(chemical-mechanical polishing; CMP)的平坦化製程已經在半導體基板110上完成。例如,導電結構100可係用於場效電晶體(field-effect transistor; FET)的接觸結構。
導電結構100在接觸阱109或孔中設置,該孔係在絕緣材料120中形成的空腔。絕緣材料120(或者被稱為淺溝槽隔離(shallow trench isolation; STI))可包括一或更多種介電材料,如二氧化矽(SiO2 )、氮化矽(Si3 N4 )、或上述各者之多個層。絕緣材料120可藉由高密度電漿(high-density plasma; HDP)、可流動化學氣相沉積(flowable chemical vapor deposition; FCVD)、正矽酸四乙酯(tetraethyl orthosilicate; TEOS)、或類似者形成。導電結構100可包括多個金屬層的堆疊,例如,第一金屬層102、金屬氮化物層103、及在第一金屬層102及金屬氮化物層103上方設置的至少一導電部分。導電部分可包括覆蓋層104及/或導電層106。
源極或汲極結構101可由半導體基板110形成或由在半導體基板110上沉積的不同半導體材料形成。在後者情況下,不同的半導體材料可包括鍺矽、第III-V族化合物半導體材料、或類似者。例如,在一些實施例中,可執行磊晶製程以生長源極或汲極結構101。
第一金屬層102在源極或汲極結構101上形成,並且包括一或更多種金屬,該等金屬經選擇為在適宜的熱退火製程之後在與源極或汲極結構101的界面處形成矽化物105。例如,在一些實施例中,第一金屬層102包括鈦(Ti)或整體由Ti構成,並且可具有約40 Å至約50 Å的厚度。金屬氮化物層103在第一金屬層102上形成,並且包括金屬氮化物,例如,用作導電結構100中的擴散阻障層。在一些實施例中,金屬氮化物層103包括氮化鈦(TiN)、氮化鉭(TaN)及/或氮化鎢(W3 N2 ),並且可具有約10 Å至20 Å的厚度。覆蓋層104通常在熱退火製程之後在金屬氮化物層103上形成,矽化物105藉由該熱退火製程在導電結構100中形成,並且包括一或更多種金屬。在一些實施例中,導電結構100可包括單獨形成的導電層106,該導電層可包括金屬,如鈷、銅、釕、鎳、鎢、鋁、或其他可用金屬、或其組合。在一些實施例中,覆蓋層104包括Co,並且可具有約10 Å至20 Å的厚度。在其他實施例中,覆蓋層104包括金屬(例如,鈷),該金屬完全填充接觸阱109的剩餘部分。
如先前提及,在第一金屬層102及/或金屬氮化物層103中存在O原子不利地影響導電結構100的有效導電性。首先,在任何金屬層中的氧化物增加所形成的金屬層的主體導電性。其次,界面氧化物(亦即,在金屬氮化物層103與覆蓋層104之間的界面處形成的金屬氧化物)加劇了在金屬氮化物層103與覆蓋層104之間的不良黏著力,潛在地導致顯著減小導電結構100的有效橫截面積的空隙。遺憾的是,在導電結構100的金屬層的主體部分中幾乎總是存在一定程度的低濃度的O原子。此外,在眾多情況下,氧化物可以較高濃度在金屬表面上形成,該等金屬表面在製造步驟之間暴露於空氣。根據本揭示案的實施例,在導電結構100中存在主體及界面O原子可經由連續氫化及電漿氮化製程來減少。在第2A圖至第2E圖及第3A圖至第3D圖中示出針對連續製程將導電結構100中的主體及界面O原子減少程度的實體模型。減少界面及主體氧的實體模型
第2A圖至第2E圖係根據本揭示案的一實施例的在製造接觸結構100的各個階段處的接觸結構100內的金屬氮化物層103的示意圖。應注意到,第2A圖至第2E圖僅示出了金屬氮化物層103的一個可能的表面末端,並且僅僅表示常見的TiN結構。在一些實施例中,金屬氮化物層103可具有任何其他可能的表面末端或與TiN層相關聯的晶體結構。
在第2A圖中,示意性地示出緊接在金屬氮化物層103已經在第一金屬層102上沉積之後並且在將部分200暴露於空氣之前的金屬氮化物層103的部分200。部分200包括其上將最終沉積有覆蓋層104的部分200的表面201。如圖所示,部分200具有NACl立方結構,並且主要由Ti及N原子構成。此外,部分200包括通常在表面201下方的部分200的主體區域中設置的低濃度的主體O原子211(交叉影線)。主體O原子211可由污染帶入,該污染在用於形成部分200的沉積製程期間在處理環境中發現。另外,部分200通常包括空位213,該等空位係其中正失去原子的部分200的晶格內的位點。空位213係當將氮化物層103暴露於空氣時可在部分200內發生額外氧化的位置。注意到,當金屬氮化物層103藉由原子層沉積(atomic layer deposition; ALD)製程形成時,歸因於在ALD製程中發現的膜成核及生長機制,相對於傳統化學氣相沉積(chemical vapor deposition; CVD)或物理氣相沉積(physical vapor deposition; PVD)製程,空位213相對常見。因此,相對於習知PVD或CVD類型的製程,本文提供的揭示內容的一或更多個實施例可當在藉由ALD製程形成的膜上使用時提供顯著益處。
在第2B圖中,示出在從沉積金屬氮化物層103的處理系統去除之後的部分200。例如,在準備熱退火製程時,可將其上形成部分200的半導體基板110暴露於空氣。通常,歸因於形成目前的大部分先進元件節點應用所需的要求清潔度、熱管理控制及真空位準要求的差異,習知熱處理腔室(如退火處理腔室)在與用於形成第一金屬層102及金屬氮化物層103的處理系統不同的處理系統中執行。因此,在第2B圖中,示出了在暴露於空氣之後的部分200。如圖所示,已經部分氧化表面201,其中表面O原子212佔據大部分或所有在表面201上設置的空位213。在一些情況下,由於將部分200暴露於空氣,在部分200內設置的一些空位213由主體O原子211佔據。
在第2C圖中,示出了在經歷熱退火製程以形成矽化物105(如第1圖所示)之後的部分200。一些或所有剩餘空位213用主體O原子211或表面O原子212填充。在一些實施例中,主體O原子211亦可移位在部分200內設置的N原子的一部分。因此,退火製程通常增加部分200中的主體O原子211及表面O原子212二者的數量。甚至當表面201上的表面O原子212的深度係僅一或兩個單層時,對導電結構100的電阻率的影響可係顯著的,特定係針對較小元件結構,如與先進元件節點(例如,65 nm技術節點及以下的技術節點)相關聯的彼等結構。
在第2D圖中,示出了根據本揭示案的各個實施例的在暴露於氫原子之後的部分200,該等氫原子與包括在部分200中的主體O原子211及/或表面O原子212反應。在一些實施例中,作為熱氫化製程的部分,主體O原子211及/或表面O原子212與來自熱解離的氫氣(H2 )的氫原子反應,而在其他實施例中,作為電漿氫化製程的部分,主體O原子211及/或表面O原子212與來自含氫電漿的氫原子反應。
熱氫化製程可在某些處理條件下在適宜的快速熱處理腔室中執行,包括將部分200加熱至至少約550℃至約650℃。電漿氫化製程可在某些處理條件下在適宜的電漿處理腔室中執行。示例性電漿處理腔室及電漿處理條件各者在下文針對電漿氫化製程描述。如圖所示,氫化製程減少或另外從表面201去除所有或實質上所有的表面O原子212,從而留下空位213。此外,電漿氫化製程亦可去除在表面201下方設置的一些或所有主體O原子211。
在第2E圖中,示出了根據本揭示案的各個實施例在經歷電漿氮化製程之後的部分200。電漿氮化製程可在某些處理條件下在適宜的電漿處理腔室中執行,並且示例性電漿處理腔室及電漿處理條件各者在下文針對電漿氮化製程描述。在一些實施例中,電漿氮化製程可在相同的執行電漿氫化製程的電漿處理腔室中執行。此外,在電漿或熱氫化製程與電漿氮化製程之間不發生空斷。亦即,在電漿或熱氫化製程之後並且在電漿氮化製程之前,不將部分200暴露於空氣。
如圖所示,氮化製程導致用N原子填充空位213,使得表面201上設置的表面O原子212非常少或沒有。因此,表面201可達到N原子飽和,並且因此,表面201的後續氧化大幅度減少或消除,甚至當在沉積覆蓋層104之前再次將表面201暴露於空氣時。由此,改良在金屬氮化物層103的表面201與覆蓋層104之間的黏著力。此外,在表面201下方的一些或所有空位可用N原子替代主體O原子211來填充,從而進一步整體改進金屬氮化物層103、第一金屬層102、及導電結構100的導電性。
第3圖係根據本揭示案的一實施例的用於在處理之前沉積及熱退火的TiN膜的X射線光電子光譜學(X-ray Photoelectron Spectroscopy; XPS)光譜310及用於在處理之後的相同沉積及熱退火的TiN膜的XPS光譜320。處理包括電漿或熱氫化製程,及隨後的電漿氮化製程。熱退火製程係在約550℃與600℃之間的溫度下在氮氣(N2 )或氨(NH3 )環境中的快速熱製程。在約340℃與500℃之間的溫度下、在約10 mTorr與150 mTorr之間的處理壓力下、在約250 W與2000 W之間的電漿功率下、在約5 sccm與100 sccm之間的H2 流率下、及在約250 sccm與2000 sccm之間的氬(Ar)流率下,電漿氫化製程在基板底座上的感應耦合電漿(inductively coupled plasma; ICP)腔室中執行達約30秒與約200秒之間的持續時間。在約350℃與500℃之間的溫度下的基板底座上、在約10 mTorr與100 mTorr之間的處理壓力下、在約250 W與2000 W之間的電漿功率下、在約5 sccm與100 sccm之間的NH3 流率下、在約300 sccm與500 sccm之間的氮氣(N2 )流率下、及在約20 sccm與500 sccm之間的氬(Ar)流率下,電漿氮化製程可在相同ICP腔室中執行達約30秒與約200秒之間的持續時間。
如在本領域中熟知,TiN膜的XPS光譜可包括多個峰,各者指示不同含鈦材料的不同相對濃度。例如,具有約458.5 eV的結合能的Ti-O峰通常指示存在Ti-O鍵,並且由此,指示在含鈦材料中存在O原子;具有約457 eV的結合能的Ti-O-N峰通常指示存在Ti-O-N鍵,並且由此,指示在含鈦材料中存在N原子及O原子;並且具有約454.9 eV的結合能的Ti-N峰通常指示存在Ti-N鍵,並且由此,指示在含鈦材料中存在氮(N)原子。
針對在其上執行上文描述的熱退火製程之後的TiN沉積膜,XPS光譜310與Ti 2p殼相關聯,且針對在經歷上文描述的電漿氫化製程,隨後經歷上文描述的電漿氮化製程之後的TiN沉積及熱退火膜,XPS光譜320與Ti 2p殼相關聯。如圖所示,與在XPS光譜310中相比,在XPS光譜320中指示存在Ti-O鍵的峰及指示存在Ti-O-N鍵的峰顯著較低,從而清楚地指示在TiN膜中存在的O原子減少。此外,與在XPS光譜310中相比,在XPS光譜320中指示存在Ti-N鍵的峰顯著較高,從而清楚地指示N原子在TiN膜中的濃度的增加。因此,藉由在退火製程之後執行氫化及氮化製程,O原子在金屬氮化物膜103中的濃度可顯著減小,並且N原子在金屬氮化物膜103中的濃度可顯著增加。
第2A圖至第2E圖及第3圖示出了退火後連續氫化及氮化製程對金屬氮化物層103的效應。在一些實施例中,在熱退火製程之前在部分200上採用電漿或熱氫化製程,隨後採用電漿氮化製程可具有類似的有益效應。具體而言,因為歸因於電漿氮化製程(如第2E圖所示),表面201可大部分或全部達到N原子飽和,後續的空氣暴露及熱退火表面201導致極少氧化或沒有氧化。因此,在部分200中發現的主體O原子211的濃度及表面O原子212在表面201上的濃度不顯著增加。針對連續氫化及氮化的系統概述
第4圖係經配置為實施本揭示案的一或更多個態樣的電漿處理腔室400的示意性橫截面。電漿處理腔室400可係任何適宜的電漿處理腔室,如感應耦合電漿(inductively coupled plasma; ICP)處理腔室。如第4圖所示,處理腔室400可包括腔室壁406、腔室蓋408及在腔室壁406內設置的基板支撐底座404。通常,腔室壁406耦合到電氣接地416。腔室蓋408可由任何適宜介電質(如石英)構成。針對一些實施例,介電蓋408可假設不同形狀(例如,圓頂形狀)。在一些實施例中,腔室蓋408可用陶瓷塗層(如含釔氧化物)塗覆,用於抵禦電漿物種。在一個實施例中,陶瓷塗層係高效能材料(high performance material; HPM),該高效能材料由Y4 Al2 O9 化合物及固溶液Y2-x Zrx O3 (Y2 O3 -ZrO2 固溶液)構成。陶瓷塗層可具有從約100微米至約300微米變化的厚度,如約200微米。
在腔室蓋408之上,可設置包括至少一個感應線圈元件410的射頻(radio frequency; RF)天線(圖示了兩個同軸線圈元件)。在一些實施例中,感應線圈元件410可在腔室壁406的至少一部分周圍設置。感應線圈元件410的一端可經由第一阻抗匹配網路412耦合到RF電源414,並且另一端可連接到如圖所示的電氣接地417。在範圍從2至160 MHz的可調諧頻率下,電源414通常能夠產生多達10千瓦(kW)電力,其中13.56 MHz係常見操作頻率。供應到感應線圈元件410的RF功率可係脈衝的(亦即,在開啟與關閉狀態之間切換)或功率循環(亦即,使功率輸入從高位準到低位準變化),其頻率在從1至100 kHz變化的範圍中。
屏蔽電極418可插入RF天線的感應線圈元件410與腔室壁408之間。屏蔽電極418可經由任何適宜構件交替地電氣浮動或耦合到電氣接地419,該構件用於構成及斷開電氣連接,如第4圖中示出的開關420。
針對一些實施例,偵測器422可附接到腔室壁406以促進決定何時將腔室400內的氣體混合物激勵為電漿。例如,偵測器422可偵測由激發的氣體發射的輻射或使用光學發射光譜學(optical emission spectroscopy; OES)以量測與所產生的電漿相關聯的光的一或更多個波長的強度。
底座404可經由第二阻抗匹配網路424耦合到偏置電源426。類似於RF電源414,偏置電源426通常能夠產生具有從2至160 MHz變化及在0與10 kW之間的功率的可調諧頻率的RF訊號。可選地,偏置電源426可係直流(direct current; DC)或脈衝DC源。
在操作中,基板428(如半導體基板)可放置在底座404上,並且處理氣體可從氣體面板430經由入口埠432供應以致力於形成氣體混合物434。下文描述了可在本文描述的一或更多個製程中使用的常見處理氣體。入口埠432可用陶瓷塗層(如HPM)塗佈。氣體混合物434可在處理腔室400中藉由施加來自RF電源414的功率而激勵為電漿436。在處理腔室400的內部容積內的壓力可使用節流閥438及真空泵440控制。在一些實施例中,腔室壁406的溫度可使用行進穿過腔室壁406的含液體管道(未圖示)或者嵌入腔室壁406中(例如,加熱筒或線圈)或纏繞在處理腔室400周圍(例如,加熱器包或帶)的加熱元件控制。
基板428的溫度可藉由穩定底座404的溫度來控制。在一些實施例中,可將來自氣體來源442的氦(He)氣體經由氣體管道444提供到在基板428下方的底座表面中形成的通道(未圖示)。氦氣可促進在底座404與基板428之間的熱傳遞。在處理期間,可將底座404加熱至穩態溫度,並且隨後氦氣可促進基板428的均勻加熱。底座可藉由加熱元件(未圖示)如此加熱,該加熱元件諸如嵌入底座404內的電阻式加熱器、或通常瞄準其上的底座404或基板428的燈。使用此種熱控制,可將基板428維持在約攝氏20度至攝氏350度(℃)之間的溫度下。
為了允許控制如本文描述的處理腔室400的部件,可提供控制器446。控制器446可包含中央處理單元(central processing unit; CPU) 448、記憶體450、及用於CPU 448的支援電路452。控制器446可與RF電源414、開關420、偵測器422、及偏置電源426對接。
控制器446可係任何適宜類型的通用電腦處理器,該通用電腦處理器可在用於控制各個腔室及子處理器的工業設置中使用。記憶體450、或用於CPU 448的其他電腦可讀取媒體可係任何容易獲得的記憶體形式的一或更多個,如隨機存取記憶體(random access memory; RAM)、唯讀記憶體(read only memory; ROM)、軟碟、硬碟、或任何其他形式的數位儲存器(本端或遠端)。支援電路452可耦合到CPU 448,以致力於以習知方式支援處理器。此等電路可包括快取記憶體、電源供應器、時鐘電路、輸入/輸出(I/O)電路系統及子系統、及類似者。針對一些實施例,本文揭示的用於激勵及維持電漿的技術可儲存在記憶體450中作為軟體常式。軟體常式亦可由第二CPU(未圖示)儲存及/或執行,該第二CPU位於由CPU 448控制的硬體遠端。
根據本揭示案的一些實施例,熱或電漿氫化製程隨後係電漿氮化製程,後文稱為「連續氫化/氮化製程」,在基板上執行熱退火之前及/或之後在基板上執行。連續氫化/氮化製程可包括電容耦合電漿製程或感應耦合電漿製程。在一些實施例中,用於氫化/氮化製程的電漿可在處理腔室400外部的遠端電漿源中形成,並且在其他實施例中,用於電漿製程的電漿可原位形成,亦即,在處理腔室400中形成。氫化及氮化可在相同步驟中執行,後文稱為「單步電漿氫化及氮化製程」。在一些實施例中,用於單步電漿氫化及氮化製程的電漿可在處理腔室400外部的遠端電漿源中形成,並且在其他實施例中,用於電漿製程的電漿可原位形成,亦即,在處理腔室400中形成。
在電漿氫化製程中,電漿激發的H自由基及/或離子與主體O原子211及/或表面O原子212反應以產生空位213。在熱氫化製程的情況下,解離的H原子與主體O原子211及/或表面O原子212反應以產生空位213。在氮化製程中,N自由基及/或離子佔據空位213。
注意到,在電漿氫化製程期間,歸因於存在H原子,如解離的H原子、H自由基、及/或H離子,在處理腔室400內的處理環境通常包括相對低的較低濃度的O原子。因此,與在氮化製程期間在處理腔室400內的處理環境或在沉積金屬氮化物層期間在處理腔室內的處理環境相比,在電漿氫化製程期間在處理腔室400內的處理環境可包括較低濃度的O原子。然而,對於氫化或氮化二者,較低濃度的O原子通常係有利的。因此,在一些實施例中,在電漿氫化製程及/或氮化製程之前,處理腔室可用電漿製程(如H2 製程)調節,以去除任何痕量的O物種。
當待用本文描述的氫化/氮化製程或單步電漿氫化及氮化製程處理的金屬氮化物層係具有約200 Å或更小的厚度的薄膜時,ICP製程通常較不易於在氫化或氮化期間破壞金屬氮化物層。具體地,在ICP製程中,電漿鞘通常小於在CCP腔室中的電漿鞘,並且由此進行穿過其中的離子通常具有成比例較小的能量,例如,在10倍ev的數量級上,諸如10至20 eV。相比之下,在CCP腔室中的離子通常具有在100倍eV的數量級上的能量(例如,>200-400 eV),並且隨後可產生對金屬氮化物層的顯著破壞。此外,歸因於較高密度的離子、自由基、及通常在ICP處理腔室中並且相對於CCP及在其他類型的處理腔室中使用的遠端電漿源靠近基板形成的其他電漿激發物種,與藉由使用CCP或遠端電漿製程相比,ICP製程可提供從金屬氮化物層的更多氧去除。相比之下,來自CCP及遠端電漿源的自由基的濃度係相對低的。
在其中原位形成用於電漿製程的電漿的實施例中,電漿可經由感應線圈元件410、第一阻抗匹配網路412、RF電源414形成,並且在一些實施例中,經由第二阻抗匹配網路424及偏置電源426形成。在此種實施例中,電漿製程可包括將一或更多種處理氣體引入處理腔室400中,該處理氣體經選擇為產生某些電漿物種(亦即,離子、中性原子、及/或自由基)。更具體地,在電漿氫化製程的情況下,一或更多種處理氣體經選擇為產生電漿激發的氫物種,而在電漿氮化製程的情況下,一或更多種處理氣體經選擇為產生電漿激發的氮物種。因此,針對電漿氫化製程,一或更多種處理氣體可包括氫(H2 )、及/或D2 ,並且針對電漿氮化製程,一或更多種處理氣體可包括氮(N2 )或氨(NH3 )。替代或額外地,電漿製程可包括將一或更多種載體及/或惰性氣體(如氬(Ar))引入處理腔室400中。針對單步電漿氫化及氮化製程,一或更多種處理氣體可包括氫(H2 )、D2 、氮(N2 )、氨(NH3 )、或肼(N2 H4 )。
在一些實施例中,電漿氫化製程主要包括形成電漿,該電漿包括基本上由氫(H2 )組成的處理氣體,該處理氣體形成由電漿提供的反應物種。將注意到,與使用含H2 的處理氣體的熱氫化製程相比,使用電漿(例如,感應耦合電漿)(該電漿使用H2 形成)形成含氫物種將具有顯著更多的含氫自由基及離子,因此改良電漿氫化製程的有效性,並且減少當使用不純含氫反應氣體時發現的不期望反應。
在一些實施例中,一或更多種處理氣體藉由RF電源(如RF電源414)激勵。RF功率可在2%至70%的工作循環下脈衝,並且可從約100 W至約2500 W變化。RF功率可係從約100 W至約2500 W變化的連續波。處理腔室可具有在電漿製程期間從約10 mTorr至約200 mTorr變化的腔室壓力,而處理溫度(例如,底座404的溫度)可從20℃至約500℃變化。
在示例性實施例中,在約400℃與約500℃之間的處理溫度下、在約5 mTorr與約20 mTorr之間的腔室壓力下、在約1000 W與約2000 W之間的RF功率下、及在約175 V與約250 V之間的偏置電壓下,其中H2 流量在約20 sccm與約40 sccm之間及Ar流量在約400 sccm與約500 sccm之間,執行電漿氫化製程達約50秒與約300秒之間的持續時間。由處理腔室400內部的電漿產生的電漿激發的氫物種可減少在部分形成的導電結構(例如,導電結構100)的金屬氮化物層(例如,金屬氮化物層103)的已暴露表面上存在的一些或所有氧化物。在一些實施例中,電漿激發的氫物種亦可減少在導電結構的金屬氮化物層(如導電結構100的第一金屬層102)或其他金屬層的主體材料中存在的一些或所有O原子。上文結合第2D圖及第3B圖描述了O原子的此種減少。
在另一示例性實施例中,在約400℃與約500℃之間的處理溫度下、在約5 mTorr與約25 mTorr之間的腔室壓力下、在約1000 W與約2000 W之間的RF功率下、及在約175 V與約250 V之間的偏置壓力下,其中NH3 流量在約20 sccm與約40 sccm之間、N2 流量在約400 sccm與約600 sccm之間,並且Ar流量在約400 sccm與約500 sccm之間,執行電漿氮化製程達約50秒與約300秒之間的持續時間。由處理腔室400內部的電漿產生的電漿激發的氮物種可使部分形成的導電結構的金屬氮化物層的已暴露表面(例如,金屬氮化物層103的表面201)飽和。在一些實施例中,電漿激發的氮物種亦可填充在導電結構的金屬氮化物層或其他金屬層的主體材料中存在的空位。上文結合第2E圖及第3C圖描述了此種氮化。
在一些實施例中,在約10 mTorr與約100 mTorr之間的腔室壓力下、在約350℃與約500℃之間的處理溫度(如基板底座溫度)下,其中RF功率在約300 W與約2000 W之間,NH3 的流率在約5 sccm與約100 sccm之間,N2 的流率在約50 sccm與約1000 sccm之間,氦(He)流率在約1至約1000 sccm之間,執行單步電漿氫化及氮化製程達約30秒與約150秒的持續時間,並且施加基板偏壓,其中頻率從約2 MHz至約160 MHz,並且偏壓功率在約0 kW與約10 kW之間。
在一些實施例中,在約15 mTorr與約25 mTorr之間的腔室壓力下、在約350℃與約500℃之間的處理溫度下,其中RF功率在約300 W與約1600 W之間、NH3 的流率在約10 sccm與約40 sccm之間、N2 的流率在約200 sccm至約550 sccm之間、Ar的流率從約200 sccm至約550 sccm,執行單步電漿氫化及氮化製程達約85秒與約95秒之間的持續時間,並且不施加基板偏壓功率。
在其中遠端地形成用於電漿製程的電漿的實施例中,電漿可經由任何技術上可行的遠端電漿源來形成。在此種實施例中,電漿製程可包括將一或更多種處理氣體引入遠端電漿源中,該處理氣體經選擇為產生電漿激發的氫物種或電漿激發的氮物種。替代或額外地,遠端電漿製程可包括將一或更多種載體及/或惰性氣體(如氬(Ar))引入遠端電漿源中。遠端產生的電漿物種隨後流入處理腔室400中,並且處理在處理腔室400中設置的基板上形成的導電結構的金屬氮化物層。如上文描述,取決於電漿物種是電漿激發的氫物種還是電漿激發的氮物種,減少在金屬氮化物層中的界面及主體O原子,或者增強金屬氮化物層的氮化。
在一些實施例中,與電漿氫化製程不同,可採用熱氫化製程以將金屬氮化物層暴露於氫原子。在此種實施例中,熱氫化製程通常在高溫(例如在約500℃與約650℃之間)下發生。在此種高溫下,H2 氣體解離為單獨的原子,該等原子可隨後與金屬氮化物層103中的O原子反應,並且產生空位213。此外,在此種實施例中,熱氫化製程通常在與處理腔室400不同的處理腔室中執行。例如,在一些實施例中,熱氫化製程在快速熱處理腔室中執行。在此種實施例中,矽化製程可與熱氫化製程同時執行,由此消除後續退火製程。
在其中採用熱退火製程以將金屬氮化物層暴露於氫原子的實施例中,在沒有空斷的情況下執行電漿氮化製程,該空斷將金屬氮化物層103暴露於空氣。例如,在此種實施例中,多腔室處理系統的一個腔室可經配置為執行熱氫化製程,並且同一多腔室處理系統的另一腔室可經配置為執行電漿氮化製程。因此,其上形成金屬氮化物層103的基板可經歷熱氫化製程,隨後在不暴露於空氣的情況下直接傳遞到電漿氮化腔室。
第5圖係經配置為實施本揭示案的一或更多個態樣的多腔室處理系統500的俯視平面圖。多腔室處理系統500經配置為在獨立基板(如矽晶圓)上執行一或更多個製造製程,用於形成半導體元件。多腔室處理系統500包括一些或所有傳遞腔室506、緩衝腔室508、單晶圓裝載閘510及512、處理腔室514、516、518、520、522、及524、預熱腔室523及525、及機器人526及528。單晶圓裝載閘510及512可包括加熱元件513並且附接到緩衝腔室508。處理腔室514、516、518、及520附接到傳遞腔室506。處理腔室522及524附接到緩衝腔室508。多腔室處理系統500的操作由電腦系統530控制。電腦系統530可係經配置為實施本文提供的本發明操作的任何元件或元件組合。因此,電腦系統530可係控制器或控制器陣列及/或經配置有軟體的通用電腦,當執行該軟體時,該軟體執行本發明的操作。適宜的多腔室處理系統500的一個實例係由美國加州聖克拉拉市應用材料公司製造的Endura® RTM CL系統。
處理腔室514、516、518、520、522、及524的每一者可經配置為在半導體元件中製造導電結構(如用於場效電晶體(field-effect transistor; FET)的接觸結構)時執行一或更多個處理步驟。更具體地,處理腔室514、516、518、520、522、及524可包括一或更多個金屬沉積腔室、表面清潔及製備腔室、熱退火及/或熱氫化腔室、及電漿氫化/氮化腔室。
例如,針對包括在矽源極或汲極結構上形成的Ti-TiN-Co堆疊的接觸結構,在一些實施例中,多腔室處理系統500可經配置為在此種導電結構的製造製程中連續執行數個處理步驟。在此種實施例中,處理腔室514可經配置為在矽源極或汲極結構的已暴露表面上執行表面清潔及製備製程,處理腔室516可經配置為在製備的矽源極或汲極結構上連續沉積Ti及TiN層,處理腔室522及/或524可經配置為藉由在Ti/TiN層及源極/或汲極結構上執行快速熱處理(rapid thermal processing; RTP)或其他熱退火製程來形成矽化物,處理腔室518可經配置為在退火的Ti/TiN層上沉積Co覆蓋層,並且處理腔室520可經配置為在熱退火製程之前或之後執行氫化製程及隨後的氮化製程。因此,在此種實施例中,在不發生空斷及所得的一或更多層接觸結構的不期望氧化的情況下,可形成完整的接觸結構。
在替代實施例中,並非用於形成完整接觸結構的所有處理步驟皆在單個多腔室處理系統500上執行。例如,在一些實施例中,多腔室處理系統500可包括金屬沉積處理腔室,而熱退火矽化製程可在不同的基板處理系統上執行。在此種實施例中,在熱退火製程之前發生空斷,並且已知此種空斷可增加O原子在金屬氮化物層的界面表面及在接觸結構的金屬氮化物層的主體材料中的存在。然而,在空斷之前,由於多腔室處理系統500可配置有金屬沉積腔室及一或更多個電漿處理腔室二者,可執行連續電漿(或熱)氫化/電漿氮化製程或單步電漿氫化及氮化製程。因此,多腔室處理系統500可經配置為在沉積第一金屬層102及金屬氮化物層103之後但在從多腔室處理系統500移除基板並且將基板暴露於空氣之前在基板上執行連續氫化/氮化製程或單步電漿氫化及氮化製程。如上文論述,在空斷之前的金屬氮化物層103的已暴露表面的氮化可大幅度減少已暴露表面在空斷期間並且在後續熱退火製程期間的氧化。
在一些實施例中,多腔室處理系統500可包括一或更多個熱退火及電漿處理腔室。在此種實施例中,連續氫化及氮化製程或單步電漿氫化及氮化製程可在熱退火製程之後執行,由此去除藉由預退火空斷並且藉由熱退火製程自身而引入的O原子。通常,歸因於在熱處理期間處理部件(例如,密封件、處理套組部件、泵等)達到的高溫,熱退火製程不能維持大部分先進元件節點所需的期望低氧位準。
替代或額外地,連續氫化/氮化製程或單步電漿氫化及氮化製程可在熱退火製程之前執行。因此,在此種實施例中,即使在沉積金屬氮化物層103之後並且在熱退火製程之前不發生空斷,界面O原子及在金屬氮化物層的主體部分中存在的O原子亦可在執行熱退火製程之前減少或消除。由此,在一些配置中,連續氫化及電漿氮化製程或單步電漿氫化及氮化製程可在熱退火製程之前並且亦在熱退火製程之後但在空斷發生之前執行。
在一些實施例中,多腔室處理系統500可包括經配置為沉積覆蓋層104及/或導電層106的一或更多個金屬沉積腔室及一或更多個電漿處理腔室以執行連續氫化及氮化製程或單步電漿氫化及氮化製程。在此種實施例中,連續氫化及氮化製程或單步電漿氫化及氮化製程可在導電結構中沉積覆蓋層之前執行,由此去除由空斷及由用於形成矽化物105的熱退火製程引入的界面及主體O原子。注意到,在此種實施例中,在連續氫化及氮化製程與沉積覆蓋層104及/或導電層106之間不發生空斷。因此,在此種實施例中,當在熱退火製程與沉積覆蓋層104之間發生空斷時,可減少或消除界面O原子及在金屬氮化物層的主體部分中存在的O原子。減少接觸結構中的主體及界面氧
第6圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。第7A圖至第7E圖係根據本揭示案的各個實施例的對應於第6圖的製程的不同階段的半導體元件的示意性橫截面圖。儘管第7A圖至第7E圖示出了如選擇性沉積(例如,如第1圖所示,層不在孔109上方保形地形成)的填充孔109的第一金屬層102、金屬氮化物層103及覆蓋層104,此不意欲限制為本文所描述的揭示內容的範疇,並且因此第一金屬層102、金屬氮化物層103及覆蓋層104可選擇性形成或非選擇性形成,並且包括一或更多個額外層。
在步驟601之前,清潔製程或其他表面製備製程可在半導體基板的表面上執行,在該表面上將形成觸點,如在第7A圖中的源極或汲極結構101的已暴露表面701。在一些實施例中,可執行乾式蝕刻製程以去除基板701的原始氧化物。例如,可執行習知電漿蝕刻、或遠端電漿輔助的乾式蝕刻製程,如可獲自位於美國聖克拉拉市的應用材料公司的SiCoNiTM 蝕刻製程。在SiCoNiTM 蝕刻製程中,將其上待形成觸點的半導體基板的表面暴露於H2 、NF3 、及/或NH3 電漿物種,例如,電漿激發的氫及氟物種。例如,在一些實施例中,此種表面可經歷同時暴露於H2 、NF3 、及NH3 電漿。SiCoNiTM 蝕刻製程可在SiCoNiTM 預清潔腔室中執行,該預清潔腔室可整合到各種多處理平臺的一個中,包括可獲自應用材料公司的ProducerTM GT、CenturaTM AP及Endura平臺。
如第7B圖所示,方法600開始於步驟601,其中第一金屬層102及金屬氮化物層103在半導體基板上沉積。例如,在一些實施例中,沉積Ti層,隨後沉積TiN阻障層。可採用任何適宜的PVD、CVD、或ALD製程以執行此種沉積。因此,沉積製程可係選擇性製程或非選擇性沉積製程。在選擇性沉積製程中,第一金屬層102及金屬氮化物層103在表面701上沉積,但不在半導體基板110的其他表面上沉積,而在非選擇性製程中,第一金屬層102及金屬氮化物層103可在半導體基板110的所有未遮蔽的表面上沉積。在一些實施例中,在上文描述的表面製備製程之後,在無空斷的情況下執行步驟601的沉積。亦即,半導體基板不暴露於表面製備製程與步驟601的沉積之間的大氣。在此種實施例中,步驟601的沉積及表面製備製程可各自藉由同一多腔室處理系統(如多腔室處理系統500)上的不同腔室執行。
在步驟603中,熱退火製程在半導體基板110上執行,該半導體基板包括第一金屬層102、金屬氮化物層103、及源極或汲極結構101。如第7C圖所示,熱退火製程形成矽化物105。例如,在一些實施例中,達到在約500℃與約600℃之間的峰值溫度的尖端退火製程可在步驟603中執行。或者,可替代地執行任何其他適宜的退火製程以在源極或汲極結構101與步驟601中沉積的第一金屬層102之間形成矽化物105。
在一些實施例中,用於執行步驟603的腔室可經配置為同一多腔室處理系統的執行步驟601的金屬沉積的腔室。因此,在此種實施例中,在步驟601的金屬沉積之後,在沒有空斷的情況下執行步驟603的熱退火製程,由此進一步減少界面O在金屬氮化物層103的表面702上的存在。然而,出於上文論述的原因,多腔室處理系統的此種構造係不常見的,並且步驟601與步驟603之間通常發生空斷。
在步驟604中,連續氫化/電漿氮化製程在金屬氮化物層103的表面702上執行。亦即,如第7D圖所示,將表面702暴露於氫原子並且暴露於電漿激發的氮物種703。在一些實施例中,在步驟604中執行電漿氫化製程,隨後執行電漿氮化製程。在氫化製程係電漿氫化製程的實施例中,電漿氫化製程及電漿氮化製程均可在處理腔室400中執行並且使用上文結合第4圖所描述的處理參數。或者,電漿氫化製程可在多腔室處理系統500的處理腔室514、516、518、520、522、及524的一個中執行,而電漿氮化製程可在處理腔室514、516、518、520、522、及524的另一個中執行。
如先前提及,在一些實施例中,經由熱氫化製程將金屬氮化物層103的表面702暴露於氫原子。在此種實施例中,步驟604的熱氫化製程在多腔室處理系統500的處理腔室514、516、518、520、522、及524的一個中執行,例如,經配置為使用H2 氣體作為處理氣體的快速熱處理腔室。此外,在此種實施例中,電漿氮化製程在處理腔室514、516、518、520、522、及524的另一個中執行,如與第4圖中的電漿處理腔室400類似的處理腔室。因此,儘管熱氫化製程及電漿氮化製程各自在不同的處理腔室中執行,此等兩個製程之間不發生空斷。
在步驟605中,如第7E圖所示,覆蓋層104在退火的第一金屬層102及金屬氮化物層103上沉積。例如,在一個實施例中,金屬覆蓋層係Co層或含鈷合金層。因為在步驟604中去除了可在金屬氮化物層103的表面702上存在的界面O原子,因此改良在覆蓋層104與金屬氮化物層103之間的黏著力,優於在經由習知技術形成的接觸結構中的黏著力。此外,去除金屬氮化物層103內的O原子減小導電結構100的電阻率。
在一些實施例中,步驟604及605在同一多腔室處理系統上執行,使得在步驟604的連續氫化及氮化製程之後不發生空斷。隨後,避免可在暴露於大氣期間發生的金屬氮化物層103的氧化。在其他實施例中,用於執行步驟604的連續氫化及氮化處理的處理腔室可配置在與用於執行步驟605的處理腔室不同的多腔室處理系統上。注意到,在此種實施例中,步驟604的氮化製程徹底地氮化金屬氮化物層103的表面,由此最小化或以其他方式防止在步驟604與605之間的空斷期間可能發生的氧化。
第8圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。在步驟801之前,如上文結合第7圖所描述,可執行清潔製程或其他表面製備製程。
方法800開始於步驟801,其中金屬層102及金屬氮化物層103在源極或汲極結構101上沉積。步驟801可實質上類似於方法600中的步驟601。
在步驟802中,連續氫化/電漿氮化製程在金屬氮化物層103的表面702上執行。亦即,將表面702暴露於氫原子並且暴露於電漿激發的氮物種。步驟802可實質上類似於方法600中的步驟604。然而,注意到,不似步驟604,步驟802的連續氫化/電漿氮化製程是在熱退火製程之前執行。此外,在一些實施例中,步驟802在腔室中執行,該腔室經配置為包括用於執行步驟803的熱退火腔室(如快速熱處理腔室)的多腔室處理系統的部分。在此種實施例中,由於在步驟803的退火製程之前去除此種O原子,在第一金屬層102及步驟801中沉積的金屬氮化物層103內的O原子的影響進一步減小。
在步驟803中,熱退火製程在半導體基板110上執行,該半導體基板包括第一金屬層102、金屬氮化物層103、及源極或汲極結構101。步驟803可實質上類似於方法600中的步驟603。或者,在其中在步驟802中發生熱氫化製程的實施例中,熱退火製程在步驟802中執行,並且可跳過步驟803。例如,在一些實施例中,藉此形成矽化物105的熱退火製程在與步驟802的熱氫化製程相同的處理腔室中執行。在此種實施例中,緊接在熱氫化製程之前、或緊接在熱氫化製程之後,熱退火製程可與熱氫化製程同時執行。
在可選步驟804中,電漿處理製程在金屬氮化物層103的表面702上執行。步驟804可實質上類似於方法600中的步驟604。因此,在其中執行步驟804的方法800的實施例中,在步驟803的熱退火製程之前及之後執行連續氫化/氮化製程。在一些實施例中,在步驟804中執行的連續氫化/氮化製程實質上與步驟802中執行的電漿處理製程相同。在其他實施例中,步驟804的連續氫化/氮化製程可與步驟802的連續氫化/氮化製程不同。例如,在步驟802中採用的連續氫化/氮化製程的處理參數可與在步驟804中採用的連續氫化/氮化的處理參數不同。
在步驟805中,覆蓋層104及/或導電層106在退火的第一金屬層102及金屬氮化物層103上沉積。步驟805可實質上類似於方法600中的步驟605。類似地,在一些實施例中,步驟804及805可在同一多腔室處理系統上執行,使得在步驟804的電漿處理製程之後不發生空斷。隨後,避免可在暴露於空氣期間發生的金屬氮化物層103的氧化,並且改良在覆蓋層104與金屬氮化物層103之間的黏著力,該黏著力優於經由習知技術形成的接觸結構中的黏著力。
第9圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。在步驟901之前,如上文結合方法600所描述,可執行清潔製程或其他表面製備製程。如圖所示,方法900開始於步驟901,其中第一金屬層102及金屬氮化物層103在源極或汲極結構101上沉積。步驟901可實質上類似於方法600中的步驟601。在步驟902中,連續氫化/氮化製程在金屬氮化物層103的表面702上執行。步驟902可實質上類似於方法800中的步驟802。在步驟903中,熱退火製程在半導體基板110上執行,該半導體基板包括第一金屬層102、金屬氮化物層103、及源極或汲極結構101。步驟903可實質上類似於方法600中的步驟603。在步驟905中,覆蓋層104在退火的第一金屬層102及金屬氮化物層103上沉積。步驟905可實質上類似於方法600中的步驟605。因此,在方法900中,在步驟903的熱退火製程之前而不在步驟903的熱退火製程之後執行連續氫化/氮化製程。連續氫化/氮化製程通常包括電漿或熱氫化製程及電漿氮化製程。
儘管描述了用於在基板上形成接觸結構的方法600及800,但亦可採用方法600及800在基板上形成其他導電結構。因此,包括金屬氮化物層的任何導電結構可獲益於藉由方法600或800形成。具有減小的 EOT 的金屬閘結構
根據本揭示案的各個實施例,在製造高介電常數介電質/金屬閘極堆疊時採用連續氫化及氮化製程以減小堆疊的有效氧化物厚度(effective oxide thickness; EOT)。在此種實施例中,在洩漏增加及平帶電壓偏移方面無損的情況下減小堆疊的EOT,該洩漏增加及平帶電壓偏移已知在堆疊中的高介電常數介電層的厚度僅減小或另外經由習知技術按比例縮小時發生。第10圖中示出了一個此種堆疊。
第10圖示出了根據本揭示案的一實施例形成的金屬閘結構1000的橫截面圖。金屬閘結構1000在半導體基板1001上形成作為半導體元件(如MOSFET或其他FET)的部分。金屬閘結構1000係在半導體基板1001上形成的多個材料層的堆疊,並且例如包括在半導體基板1001上設置的界面層1002、在界面層1002上設置的高介電常數介電層1003、在高介電常數介電層1003上設置的金屬氮化物覆蓋層1004、及在金屬氮化物覆蓋層1004上設置的金屬閘電極層1005。在第10圖中示出的實施例中,將金屬閘結構1000的各個層繪示為在半導體基板1001上形成的簡單膜堆疊。實際上,金屬閘結構1000可在接觸阱或其他空腔中形成,該空腔在與第1圖中的絕緣材料120類似的絕緣或介電材料中形成。因此,界面層1002、高介電常數介電層1003、金屬氮化物覆蓋層1004、及金屬閘電極層1005的一或更多個可係在此空腔內保形地沉積的材料層。
半導體基板1001可係其上可形成金屬閘結構1000的任何適宜的半導體基板。因此,半導體基板1001可由任何適宜的半導體材料形成,包括但不限於Si(Si)、Ge(鍺)、鍺矽(Si-Ge)、碳鍺矽(SiGeC)、鎵(Ga)、砷化鎵(GaAs)、砷化銦(InAs)、磷化銦(InP)、及所有其他第III/V族或第II/VI族化合物半導體。替代或額外地,半導體基板1001可係層化半導體,例如,Si/Si-Ge、絕緣體上半導體(SOI)或絕緣體上Si-Ge(SiGOI)。此外,在一些實施例中,半導體基板1001包括摻雜及/或未摻雜的區域,如鄰近界面氧化物層1002的n摻雜或p摻雜區域。
界面氧化物層1002在半導體基板1001與高介電常數介電層1003之間的半導體基板1001上設置,並且經配置為適用於在金屬閘結構1000中應用的界面氧化物層。在其中半導體基板1001包括含Si材料的實施例中,界面氧化物1002層可包括氧化矽(SiOx )、氮氧化矽(SiNO、Si2 NO、Si2 N2 O)、及/或氮化的氧化矽。在半導體1001不係含Si半導體材料的實施例中,界面氧化物層1002可包含半導體氧化物、半導體氮氧化物及/或氮化的半導體氧化物。
界面氧化物層1002可經由任何適宜的熱或濕式生長技術(例如,氧化或氮氧化)形成。例如,並且不作限制,界面氧化物層1002可藉由濕式化學氧化製程形成,該濕式化學氧化製程包括用氫氧化銨、過氧化氫及水的混合物處理半導體基板1001的已清潔表面,如上次由HF處理過的半導體表面。或者,界面氧化物層1002可藉由在臭氧化的水溶液中處理上次由HF處理過的半導體表面來形成。或者,界面氧化物層1002可藉由任何適宜的熱氧化技術形成。
界面氧化物層1002的厚度係隨金屬閘結構1000係其部分的半導體元件變化。此外,界面氧化物層1002與高介電常數介電層1003、金屬氮化物覆蓋層1004、及金屬閘電極層1005相比顯著較薄。通常,界面氧化物層1002具有從約0.5至2.0 nm的厚度,儘管在一些實施例中界面氧化物層1002可較厚。在一些實施例中,在形成金屬閘結構1000之後發生的用於元件製造的熱製程可進一步增加界面氧化物層1002的厚度。
高介電常數介電層1003可係閘極介電層或金屬閘結構1000中的其他介電層,並且包括所謂的「高介電常數介電」材料。更具體地,高介電常數介電層1003包括一或更多種材料,該等材料具有大於SiO2 的介電常數,如具有至少約4.0、或理想地至少約10.0的介電常數的材料。此外,在高介電常數介電層1003中包括的高介電常數介電材料適用於在積體電路中使用。因此,除了高介電常數之外,在高介電常數介電層1003中包括的一或更多種高介電常數介電材料亦理想地具有防止摻雜劑擴散的能力、較少的可損害擊穿效能的電氣缺陷、良好熱穩定性、及再結晶高溫。適於在高介電常數介電層1003中使用的此種高介電常數介電材料的實例包括但不限於氮化矽、氮氧化矽、金屬氧化物、金屬氮化物、金屬氮氧化物及/或金屬矽酸鹽。在一些實施例中,高介電常數介電層1003包括下列的一或更多種:氧化鉿(Hfx Oy )、氧化鋯(ZrO2 )、氧化矽酸鉿(Hfx Si1-x Oy )、或其他基於鉿的介電質、氧化鑭(La2 O3 )、氧化鋁(Al2 O3 )、氧化鈦(TiO2 )、鈦酸鍶(SrTiO3 )、鋁酸鑭(LaAlO3 )、氧化釔(Y2 O3 )、氧化矽酸鉿(Hfx Si1-x Oy )、氧化鑭(La2 O3 )、及/或上述各者之多個層堆疊。
高介電常數介電層1003可經由任何適宜的沉積方法形成,包括熱生長製程,例如,氧化、氮化或氮氧化製程。或者,高介電常數介電層1003可藉由一或更多種沉積製程形成,包括但不限於化學氣相沉積(chemical vapor deposition; CVD)、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition; PECVD)、金屬有機化學氣相沉積(metalorgano chemical vapor deposition; MOCVD)、原子層沉積(atomic layer deposition; ALD)、蒸發、反應性濺鍍、化學溶液沉積及/或其任何組合。
高介電常數介電層1003的厚度1003A可取決於其中包括的介電材料、用於形成高介電常數介電層1003的製程、及其中包括金屬閘結構1000的半導體元件的幾何形狀及操作而變化。在一些實施例中,高介電常數介電層1003的厚度1003A係從約1.0 nm至約20 nm。
金屬氮化物覆蓋層1004係在高介電常數介電層1003上設置的金屬層,該金屬層通常經配置為在高介電常數介電層1003上的導電保護層。因此,在一些實施例中,金屬氮化物覆蓋層1004經配置為防止半導體基板1001及/或高介電常數介電層1003的不期望的氧化。此外,在此種實施例中,金屬氮化物覆蓋層1004亦可經配置為允許氧在沉積金屬氮化物覆蓋層1004之後發生的熱退火製程期間擴散出高介電常數介電層1003。在此種實施例中,金屬氮化物覆蓋層1004亦可經配置為允許氧在熱退火製程期間擴散出在高介電常數介電層1003與金屬氮化物覆蓋層1004之間形成的界面層1009。
在一些實施例中,金屬氮化物覆蓋層1004包括金屬氮化物,如氮化矽(TiN)、氮化鉭(TaN)、氮化鉭矽(TaSiN)、及類似者。注意到,在一些實施例中,在高介電常數介電層1003上沉積氮化物覆蓋層1004可導致形成界面層1009,該界面層在高介電常數介電層1003與金屬氮化物覆蓋層1004之間的界面處設置。根據一些實施例,當將如本文描述的連續電漿氫化及氮化製程應用到金屬氮化物覆蓋層1004的已暴露表面時,界面層1009隨後消除或厚度減小。
金屬氮化物覆蓋層1004可經由任何適宜的沉積方法形成,包括但不限於PVD製程、CVD製程、PECVD製程、MOCVD製程、ALD蒸發製程、反應性濺鍍、化學溶液沉積及/或其任何組合。
在一些實施例中,金屬氮化物覆蓋層1004與高介電常數介電層1003及金屬閘電極層1005相比顯著較薄。例如,在金屬閘結構1000的實施例中,其中高介電常數介電層1003層係具有約20 nm至約40 nm的厚度1003A的HfO2 層並且金屬閘電極層1005係具有約20 nm至約40 nm的厚度的TiN層,金屬氮化物覆蓋層1004可具有約5 nm至約15 nm的厚度1004A。
在一些實施例中,金屬氮化物覆蓋層1004的厚度1004A經選擇為促進氧原子從高介電常數介電層1003及/或界面層1009擴散。具體地,在此種實施例中,選擇厚度1004A,使得在沉積金屬氮化物覆蓋層1004之後發生的熱退火製程期間O原子從高介電常數介電層1003及/或界面層1009擴散。在此種實施例中,將厚度1004A選擇為小於在熱退火製程期間O原子穿過金屬氮化物覆蓋層1004的擴散長度。在一個實例中,一個此種熱退火製程係在金屬閘結構1000上執行達1-2秒的持續時間及約700至約900℃的峰值溫度的尖端退火製程。
金屬閘電極層1005係在金屬氮化物覆蓋層1004上形成的金屬層,並且包括一或更多個沉積的金屬層。在一些實施例中,金屬閘電極層1005經配置為金屬閘結構1000的閘電極及/或工作函數金屬。在此種實施例中,在金屬閘電極層1005中包括的一或更多個金屬層經選擇為具有共同閘電極工作函數值,該共同閘電極工作函數值促進金屬閘結構1000及其中包括金屬閘結構1000的半導體元件的操作。金屬閘電極1005可經由任何適宜的沉積方法形成,包括但不限於CVD、PECVD、MOCVD、ALD、蒸發、反應性濺鍍、化學溶液沉積及/或其任何組合。
在一些實施例中,金屬閘電極層1005係p金屬閘極材料,如TiN。或者,在一些實施例中,金屬閘電極層1005係n金屬閘極。適於在金屬閘電極層1005中使用的N金屬包括碳化鈦鋁(Tix AlC)。形成具有減小的 EOT 的金屬閘結構
根據各個實施例,在製造金屬閘結構1000期間,在沉積金屬閘電極層1005之前,在金屬氮化物覆蓋層1004上執行連續電漿氫化及氮化製程。在此種實施例中,減小金屬閘結構1000的EOT,而金屬閘結構1000的洩漏電流以低於期望量值增加。此外,在此種實施例中,金屬閘結構1000顯示極少或不顯示通常與減小的EOT相關聯的平帶電壓偏移。
例如,在金屬閘結構1000的一個實施例中,界面氧化物層1002具有約1-2 nm的厚度,高介電常數介電層1003具有約2-3 nm的厚度1003A,並且金屬氮化物覆蓋層1004具有約3-4 nm的厚度1004A。在此種實施例中,用本文描述的連續電漿氫化及氮化製程處理金屬氮化物覆蓋層1004的一個可量測效應係金屬閘結構1000的可量測EOT減小約1 Å(亦即,從約9 Å下降至約8 Å)。金屬氮化物覆蓋層1004的此種處理的另一效應係(在-1 V的平帶電壓下)洩漏電流增加約2.4倍(亦即,從約0.268 A/cm2 至約.658 A/cm2 )。相比之下,根據在本領域中已知的已經建立的比例化趨勢,當金屬閘結構1000的EOT替代地藉由習知技術減小(如藉由將厚度1003A按比例下降約1 Å)時,期望洩漏電流增加約10的因數。因此,已經發現,用本文描述的連續電漿氫化及氮化製程處理金屬氮化物覆蓋層1004具有用如與僅按比例縮小金屬氮化物覆蓋層1004的厚度1004A相關聯的增加的洩漏電流的約四分之一來減小金屬閘結構1000的EOT的影響。
此外,除了上文描述的EOT減小之外,已經圖示了當利用連續電漿氫化及氮化製程形成金屬閘結構1000時在金屬閘結構1000中量測的平帶電壓偏移維持實質上穩定。因此,將連續電漿氫化及氮化製程應用到金屬氮化物覆蓋層1004實現製造具有減小的EOT而無平帶電壓偏移並且不對元件設計產生影響的金屬閘結構1000。
第11圖闡明了根據本揭示案的各個實施例的用於減小金屬閘結構中的EOT的處理步驟的流程圖。第12A圖至第12J圖係根據本揭示案的各個實施例的對應於第11圖的製程的不同階段的半導體元件的示意性橫截面圖。
方法1100開始於步驟1101,如第12A圖所示,其中高介電常數介電層1003在界面氧化物層1002上沉積。高介電常數介電層1003可經由上文結合第10圖描述的任何適宜的沉積方法形成。
在步驟1102中,如第12B圖所示,金屬氮化物覆蓋層1004在高介電常數介電層1003上沉積。金屬氮化物覆蓋層1004可經由上文結合第10圖描述的任何適宜的沉積方法形成。在一些實施例中,沉積金屬氮化物覆蓋層1004導致形成界面層1009,該界面層在高介電常數介電層1003與金屬氮化物覆蓋層1004之間的界面處設置。在此種實施例中,界面層1009通常包括空位(其可類似於第2A圖中的空位213)及/或在步驟1102的沉積製程期間由處理環境中存在的污染帶入的O原子。
在可選步驟1103中,將第12B圖所示的已暴露表面1201暴露於空氣。例如,在一些實施例中,金屬氮化物覆蓋層1004在一個處理系統中沉積,諸如第5圖中的多腔室處理系統500,而待在半導體基板1001上執行的下一處理步驟在不同的處理系統中執行。因此,在此種實施例中,在沉積金屬氮化物層1004之後,將半導體基板1001暴露於空氣。在實施例中,其中金屬氮化物覆蓋層1004在多腔室處理系統的一個腔室中沉積,並且步驟1104在同一多腔室處理系統的一個或兩個其他處理腔室中執行,不執行可選步驟1103。
在實施例中,其中在步驟1102中沉積的金屬氮化物覆蓋層1004係隨後去除的犧牲金屬氮化物層,方法1100繼續進行到步驟1131。在實施例中,其中在步驟1102中沉積的金屬氮化物覆蓋層1004保留在金屬閘結構1000中,方法1100繼續進行到步驟1104。在一些實施例中,犧牲金屬氮化物層可藉由使用後續濕式或乾式蝕刻製程來去除,該蝕刻製程對金屬氮化物覆蓋層1004的去除具有選擇性。
在步驟1104中,如第12C圖所示,連續電漿氫化及氮化製程在金屬氮化物覆蓋層1004的表面1201上執行。電漿氫化及氮化製程可實質上類似於上文結合第4圖描述的電漿氫化及氮化製程。另外,電漿氫化製程包括非氧化的電漿激發的氫物種,並且不包括任何氧化的電漿激發的氫物種。
在一些實施例中,在約20 mTorr與約100 mTorr之間的腔室壓力下、在約400℃與約500℃之間的處理溫度(如基板底座溫度)下,其中RF功率在約500 W與約1500 W之間,H2 的流率在約20 sccm與約100 sccm之間,並且Ar的流率在約900 sccm與約980 sccm之間,執行步驟1104的電漿氫化製程達約30秒與約150秒之間的持續時間。在一些實施例中,H2 的流率係引入腔室中的總處理氣體的約1%與約15%之間。在一些實施例中,在約45 mTorr與約55 mTorr之間的腔室壓力下、在約425℃與約475℃之間的處理溫度下,其中RF功率在約700 W與約800 W之間,H2 的流率在約45 sccm與約55 sccm之間,並且Ar的流率在約965 sccm與約955 sccm之間,執行步驟1104的電漿氫化製程達約85秒與約95秒之間的持續時間。
在一些實施例中,在約10 mTorr與約50 mTorr之間的腔室壓力下、在約400℃與約500℃之間的處理溫度下,其中RF功率在約500 W與約1500 W之間,NH3 的流率在總處理氣體流率的約1%與約10%之間,N2 的流率在總處理氣體流率的約45%與約55%之間,並且Ar的流率經選擇為等於處理氣體流量的剩餘部分,執行步驟1104的電漿氮化製程達約30秒與約150秒之間的持續時間。在一些實施例中,在約15 mTorr與約25 mTorr之間的腔室壓力下,在約425℃與約475℃之間的處理溫度下,其中RF功率在約700 W與約800 W之間,NH3 的流率在總處理氣體流率的約2%與約3%之間,N2 的流率在總處理氣體流率的約45%與約55%之間,並且Ar的流率經選擇為等於處理氣體流量的剩餘部分,執行步驟1104的電漿氮化製程達約85秒與約95秒之間的持續時間。
總而言之,在步驟1104中,將基板1201暴露於在電漿氫化製程中產生的電漿激發的氫物種,並且減少在表面1201上存在的一些或所有氧化物。此外,在一些實施例中,此種電漿激發的氫物種亦可減少在金屬氮化物覆蓋層1004的主體材料中存在的一些或所有氧(O)原子。此外,在步驟1104中,將基板1201暴露於在電漿氮化製程中產生的電漿激發的氮物種,由此使表面1201達到N原子飽和,並且在一些實施例中,用N原子填充在金屬氮化物覆蓋層1004的主體材料中存在的空位。因此,在一些實施例中,如第12D圖所示,消除或顯著減少界面層1009。
在一些實施例中,步驟1104的電漿氫化製程在與步驟1104的電漿氮化製程相同的處理腔室中執行,例如,在第4圖的處理腔室400中執行。或者,步驟1104的電漿氫化製程在多腔室處理系統的第一處理腔室中執行,而步驟1104的電漿氮化製程在同一多腔室處理系統的第二處理腔室中執行。在任一情況下,注意到,在步驟1104的電漿氫化製程與電漿氮化製程之間不將表面1201暴露於空氣。因此,在任一實施例中,在暴露於電漿激發的氫物種之後並且在暴露於電漿激發的氮物種之前,不將表面1201暴露於空氣。
在一些實施例中,在處理腔室中執行電漿氫化製程之前,無氧調節製程在處理腔室中執行,例如用於減少在處理腔室中的痕量氧污染。在此種實施例中,在其中不放置基板的情況下並且在經由上文描述的電漿氫化製程處理基板之前,處理腔室用無氧電漿處理。在將基板引入腔室之前的處理腔室的此種電漿處理有時被稱為每晶圓電漿(plasma every wafer; PEW)製程或PEW處理。
在一些實施例中,此種PEW製程包括將一或更多種非含氧氣體(如N2 、NH3 、Ar、H2 、或其任何適宜組合)引入處理腔室中,並且激勵一或更多種氣體以形成無氧電漿。或者,PEW製程可包括將含電漿自由基及/或N、H、或NH3 的離子或其任何適宜組合引入處理腔室中,其中電漿在處理腔室外部的遠端電漿源中形成。在一個實施例中,將NH3 氣體或NH3 及Ar氣體的組合引入處理腔室中。在另一實施例中,將H2 氣體或H2 及Ar氣體的組合引入處理腔室中。在又一實施例中,將N2 氣體或N2 及Ar氣體的組合引入處理腔室中。
通常,在引入基板之前的處理腔室的電漿處理涉及在處理腔室中引入或形成含氫及/或氮電漿。在一些實施例中,在PEW製程期間由處理腔室內部的電漿產生的自由基(如N*、NH*、及/或H*)在處理腔室內與痕量O原子反應。
在一些實施例中,在PEW製程期間,引入處理腔室中的一或更多種氣體由RF電源激勵,如第4圖的RF電源414。RF功率可在2%至70%的工作循環下脈衝,並且可從約100 W至約2500 W變化。RF功率可係從約100 W至約2500 W變化的連續波。在此種實施例中,在約10 mTorr至約200 mTorr的腔室壓力下、在約400℃與約500℃之間的處理溫度下,其中RF功率在約250 W與約750 W之間,H2 的流率在約50 sccm與約200 sccm之間,並且O2 的流率在約450 sccm與約550 sccm之間,執行步驟1104的PEW製程達約20秒與約100秒之間的持續時間。
在可選步驟1105中,將已暴露表面1201暴露於空氣。例如,在一些實施例中,上文描述的連續氫化及氮化製程在一個處理系統中執行,而待在半導體基板1001上執行的下一處理步驟在不同處理系統中執行。因此,在此種實施例中,在沉積金屬氮化物層1004之後,將半導體基板1001暴露於空氣。在實施例中,其中連續氫化及氮化製程在多腔室處理系統的一個腔室中執行,並且步驟1106在同一多腔室處理系統的另一處理腔室中執行,不執行可選步驟1105。
在實施例中,其中犧牲含矽層隨後經沉積並且去除作為形成金屬閘結構1000的部分,方法1100從步驟1105繼續進行到步驟1121。在實施例中,其中在形成金屬閘結構1000時不沉積犧牲矽層,方法1100繼續進行到步驟1106。犧牲含矽層可藉由使用CVD或ALD製程形成,該製程使用一或更多種含矽前驅物氣體來形成沉積層。
在步驟1106中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、及金屬氮化物覆蓋層1004上執行。例如,在一些實施例中,尖端退火製程在步驟1106中執行,其中達到約600至900℃的峰值溫度。覆蓋後退火在部分形成的金屬閘結構1000上執行以平滑界面、修復不飽和鍵、並且將熱能注入金屬氮化覆蓋層中。
在步驟1107中,如第12E圖所示,金屬閘電極層1005在處理的金屬氮化物覆蓋層1004上沉積,由此完成金屬閘結構1000的形成。金屬閘電極1005可經由上文結合第10圖描述的任何適宜沉積方法來形成。
在步驟1121中,如第12F圖所示,犧牲矽層1202在金屬氮化物覆蓋層1004上沉積。在金屬氮化物覆蓋層1004的表面1201由步驟1104的連續電漿氫化及氮化製程及步驟1105的可選空氣暴露處理之後執行步驟1121。
犧牲矽層1202可包括任何適宜的含矽材料,諸如非晶矽,並且可使用在本領域中已知的任何適宜沉積製程沉積,如CVD製程。犧牲矽層1202在金屬氮化物覆蓋層1004上沉積以在後續熱退火製程(如所謂的覆蓋後退火製程)期間減少在金屬氮化物覆蓋層1004、界面層1009(若仍存在)、及高介電常數介電層1003中氧化物的形成。在一些實施例中,覆蓋後退火製程包括大氣熱退火製程。隨後,可發生金屬閘結構1000的非常薄層的進一步氧化,包括界面層1002、高介電常數介電層1003、及金屬氮化物覆蓋層1004,由此增加金屬閘結構1000的EOT。然而,存在犧牲矽層1202可在覆蓋前退火製程期間從大氣O原子屏蔽金屬閘結構1000的層。此外,犧牲矽層1202可與O原子反應並且由此保留O原子,該等O原子在熱退火製程期間擴散出高介電常數介電層1003、界面層1009(若仍存在)、及金屬氮化物覆蓋層1004。因此,犧牲矽層1202最小化或消除在後續熱退火製程期間不期望地氧化金屬閘結構1000的部分的可能。
在步驟1122中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、金屬氮化物覆蓋層1004、及犧牲矽層1202上執行。步驟1122的熱退火製程可實質上類似於上文描述的步驟1106的熱退火製程。
在步驟1123中,從金屬閘結構1000去除犧牲矽層1202。任何技術上可行的去除製程可在步驟1123中採用,包括選擇性濕式蝕刻製程、基於電漿的乾式蝕刻製程、化學機械拋光製程、或其任何組合。方法1100隨後繼續進行到步驟1107,其中沉積金屬閘結構1000的最終層。
在步驟1131中,如第12G圖所示,犧牲矽層1203在金屬氮化物覆蓋層1004上沉積。犧牲矽層1203可實質上類似於在步驟1131中沉積的犧牲矽層1202。然而,注意到在步驟1131中,金屬氮化物覆蓋層1004尚未用連續電漿氫化及氮化製程處理。隨後,金屬氮化物覆蓋層1004仍可包括如圖所示的界面層1009。
在步驟1132中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、金屬氮化物覆蓋層1004、界面層1009、及犧牲矽層1203上執行。步驟1132的熱退火製程可實質上類似於上文描述的步驟1106的熱退火製程。
在步驟1133中,如第12H圖所示,從金屬閘結構1000去除犧牲矽層1203、金屬氮化物覆蓋層1004、及界面層1009。任何技術上可行的去除製程或製程組合可在步驟1123中採用,包括選擇性濕式蝕刻製程、基於電漿的乾式蝕刻製程、化學機械拋光製程、或其任何組合。方法1100隨後繼續進行到步驟1134。
在步驟1134中,如第12I圖所示,最終金屬氮化物覆蓋層1204在高介電常數介電層1003上沉積。最終金屬氮化物覆蓋層1204可實質上類似於金屬氮化物覆蓋層1004,並且可包括界面層1009。
在可選步驟1135中,將第12I圖所示的已暴露表面1205暴露於空氣。例如,在一些實施例中,最終金屬氮化物覆蓋層1204在一個處理系統中沉積,而待在半導體基板1001上執行的下一處理步驟(亦即,步驟1136)在不同處理系統中執行。因此,在此種實施例中,在沉積最終金屬氮化物層1204之後將半導體基板1001暴露於空氣。在實施例中,其中最終金屬氮化物覆蓋層1204在多腔室處理系統的一個腔室中執行,並且步驟1136在同一多腔室處理系統的一或兩個其他處理腔室中執行,不執行可選步驟1135。
在步驟1136中,如第12J圖所示,連續電漿氫化及氮化製程在最終金屬氮化物覆蓋層1204的表面1205上執行。在步驟1136中執行的連續電漿氫化及氮化製程可實質上類似於在步驟1104中採用的製程。隨後,界面層1009可在步驟1136期間消除或減少,由此去除在最終金屬氮化物覆蓋層1204、界面層1009、及在一些實施例中高介電常數介電層1003中存在的O原子。因此,在不按比例縮小高介電常數介電層1003的厚度1003A的情況下,金屬閘結構1000的EOT減小。
在步驟1136中執行連續電漿氫化及氮化製程之後,方法1100繼續進行到步驟1107,其中沉積金屬閘結構1000的最終層。在實施例中,其中在不同處理系統中執行步驟1136及1107,必須將半導體基板1001暴露於空氣。然而,因為步驟1136的電漿氮化製程可完全或幾乎完全氮化最終金屬氮化物覆蓋層1204的已暴露表面1205,在此空氣暴露期間通常極少發生其氧化或不發生其氧化。單步氮化 - 氫化處理
方法1300開始於步驟1301,如第14A圖所示,其中高介電常數介電層1003在界面氧化物層1002上沉積。界面氧化物層1002可藉由任何適當方法沉積,諸如化學氧化下層半導體基板1001、熱氧化下層基板、原子層沉積(atomic layer deposition; ALD)、化學氣相沉積(chemical vapor deposition; CVD)、或類似者。高介電常數介電層1003可經由上文結合第10圖描述的任何適宜的沉積方法形成。高介電常數介電層1003可包括可氧化的任何高介電常數材料。根據一個實施例,高介電常數介電層1003包括二氧化矽(SiO2 )或氧化鉿(HfO2 )。
在步驟1302中,如第14B圖所示,覆蓋層1404在高介電常數介電層1003上沉積。覆蓋層1404可經由上文結合第10圖描述的任何適宜沉積方法來形成。覆蓋層1404可包含金屬氮化物。根據一個實施例,覆蓋層可包括金屬氮化物,如氮化鈦(TiN)、氮化鎢(WN)、氮化鉭(TAN)或氮化鈦矽(TiSiN)。在一些實施例中,沉積覆蓋層1404導致形成界面層1409,該界面層在高介電常數介電層1003與覆蓋層1404之間的界面處設置。在此種實施例中,界面層1409通常包括缺陷,如空位(其可類似於第2A圖中的空位213)及/或在步驟1302的沉積製程期間由處理環境中存在的污染帶入的O原子。歸因於缺陷之間的電子跳躍,缺陷可允許不期望的電荷傳遞。電荷傳遞可導致電流洩漏或介電擊穿,從而減小金屬閘結構1000的電氣可靠性。
在可選步驟1303中,將第14B圖所示的已暴露表面1401暴露於空氣。例如,在一些實施例中,覆蓋層1404在一個處理系統中沉積,諸如第5圖中的多腔室處理系統500,而待在半導體基板1001上執行的下一處理步驟在不同處理系統中執行。因此,在此種實施例中,在沉積覆蓋層1404之後,將半導體基板1001暴露於空氣。在實施例中,其中覆蓋層1404在多腔室處理系統的一個腔室中沉積,並且步驟1304在同一多腔室處理系統的一個或兩個其他處理腔室中執行,不執行可選步驟1303。
在實施例中,其中在步驟1302中沉積的覆蓋層1404係隨後去除的犧牲層,方法1400繼續進行到步驟1331。在實施例中,其中在步驟1302中沉積的覆蓋層1404保留在金屬閘結構1000中,方法1300繼續進行到步驟1304。在一些實施例中,犧牲層可藉由使用後續濕式或乾式蝕刻製程來去除,該製程對覆蓋層1404的去除具有選擇性。
在步驟1304中,如第14C圖所示,單步電漿氫化及氮化製程在覆蓋層1404的表面1401上執行。單步電漿氫化及氮化製程包含將工件(如金屬閘結構1000)暴露於處理電漿,其中處理電漿包括含氮氣體及含氫氣體。在一些實施例中,含氫氣體基本上包含含氮及含氫氣體二者,如氨(NH3 )、肼(N2 H4 )或疊氮化氫(HN3 )。在一個實例中,含氫氣體包含氨(NH3 ),並且含氮氣體包括(N2 )。根據一個實施例,處理電漿可包括含有氫及氮的單一氣體,如肼(N2 H4 )或氨(NH3 )。根據一個實施例,處理電漿可包括額外的中性載體氣體,如氬(Ar)、或氦(He)。在一個實例中,在處理電漿中含有的處理氣體實質上包含氨(NH3 )、氮(N2 )及中性載體氣體,如氬(Ar)或氦(He)。此外,在步驟1304的單步電漿氫化及氮化製程期間可藉由偏置電源426將偏壓施加到基板。類似於RF電源414,偏置電源426通常能夠產生具有從約2 MHz至約160 MHz變化的可調諧頻率及在約0 kW與約10 kW之間的功率的的RF訊號。偏壓功率藉由重新佈置沉積原子來改良生長膜的保形性。
在一些實施例中,在約10 mTorr與約100 mTorr之間的腔室壓力下、在約350℃與約500℃之間的處理溫度(如基板底座溫度)下,其中RF功率在約300 W與約2000 W之間、NH3 的流率在約5 sccm與約100 sccm之間、N2 的流率在約50 sccm與約1000 sccm之間、氦(He)流率在約1至約1000 sccm之間,執行步驟1304的單步電漿氫化及氮化製程達約30秒與約150秒之間的持續時間,並且施加具有從約2 MHz至約160 MHz的頻率、及在約0 kW與約10 kW之間的偏壓功率的基板偏壓。
在一些實施例中,在約15 mTorr與約25 mTorr之間的腔室壓力下、在約425℃與約475℃之間的處理溫度下,其中RF功率在約900 W與約1100 W之間、NH3 的流率在約15 sccm至約35 sccm之間、N2 的流率在約450 sccm至約550 sccm之間、Ar的流率從約450 sccm至約500 sccm,執行步驟1304的單步電漿氫化及氮化製程達約85秒與約95秒之間的持續時間,並且不施加基板偏壓功率。
總而言之,在步驟1304中,將表面1401暴露於電漿製程中產生的電漿激發的氫及氮物種,並且將表面1401上存在的一些或所有氧化物轉化為氮化物。因此,如第14D圖所示,在一些實施例中,界面層1409變厚消除或變厚實質上減少。界面層1409仍保留,但不發生層變厚。界面層1409的減少或氮化減小EOT,並且改變金屬閘結構1000的工作函數。
在一些實施例中,在執行步驟1304的單步電漿氫化及氮化製程之前,無氧調節製程在處理腔室中執行,例如,用於減少在處理腔室中的痕量氧污染。在此種實施例中,在其中不放置基板的情況下並且在經由上文描述的單步電漿氫化及氮化製程處理基板之前,處理腔室用無氧電漿處理。
在可選步驟1305中,將已暴露表面1401暴露於空氣。例如,在一些實施例中,上文步驟1304的單步電漿氫化及氮化製程在一個處理系統中執行,而待在半導體基板1001上執行的下一處理步驟在不同處理系統中執行。因此,在此種實施例中,在沉積層1404之後,將半導體基板1001暴露於空氣。在實施例中,其中步驟1304的單步電漿氫化及氮化製程在多腔室處理系統的一個腔室中執行,並且步驟1306在同一多腔室處理系統的另一處理腔室中執行,不執行可選步驟1305。
在實施例中,其中犧牲含矽層隨後經沉積並且去除作為形成金屬閘結構1000的部分,方法1300從步驟1305繼續進行到步驟1321。在實施例中,其中在形成金屬閘結構1000時不沉積犧牲矽層,方法1300繼續進行到步驟1306。犧牲含矽層可藉由使用CVD或ALD製程形成,該製程使用一或更多種含矽前驅物氣體來形成沉積層。
在步驟1306中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、及覆蓋層1404上執行。例如,在一些實施例中,尖端退火製程在步驟1306中執行,其中達到約600℃至約900℃的峰值溫度。覆蓋後退火在部分形成的金屬閘結構1000上執行以平滑界面、修復不飽和鍵、並且將熱能注入覆蓋層1404中。
在步驟1307中,如第14E圖所示,金屬閘電極層1005在處理的覆蓋層1404上沉積,由此完成金屬閘結構1000的形成。金屬閘電極1005可經由上文結合第10圖描述的任何適宜沉積方法來形成。
在步驟1321中,如第14F圖所示,犧牲矽層1202在覆蓋層1404上沉積。在覆蓋層1404的表面1401由步驟1304的單步電漿氫化及氮化製程及步驟1305的可選空氣暴露處理之後執行步驟1321。
在步驟1322中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、覆蓋層1404、及犧牲矽層1202上執行。步驟1322的熱退火製程可實質上類似於上文描述的步驟1306的熱退火製程。
在步驟1323中,從金屬閘結構1000去除犧牲矽層1202。任何技術上可行的去除製程可在步驟1323中採用,包括選擇性濕式蝕刻製程、基於電漿的乾式蝕刻製程、化學機械拋光製程、或其任何組合。方法1300隨後繼續進行到步驟1307,其中沉積金屬閘結構1000的最終層。
在步驟1331中,如第14G圖所示,犧牲矽層1203在覆蓋層1404上沉積。犧牲矽層1203可實質上類似於在步驟1331中沉積的犧牲矽層1202。然而,注意到在步驟1331中,覆蓋層1404尚未用單步電漿氫化及氮化製程處理。隨後,覆蓋層1404仍可包括如圖所示的界面層1409。
在步驟1332中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、覆蓋層1404、界面層1409、及犧牲矽層1203上執行。步驟1332的熱退火製程可實質上類似於上文描述的步驟1306的熱退火製程。
在步驟1333中,如第14H圖所示,從金屬閘結構1000去除犧牲矽層1203、覆蓋層1404、及界面層1409。任何技術上可行的去除製程或製程組合可在步驟1333中採用,包括選擇性濕式蝕刻製程、基於電漿的乾式蝕刻製程、化學機械拋光製程、或其任何組合。方法1300隨後繼續進行到步驟1334。
在步驟1334中,如第14I圖所示,最終覆蓋層1404f在高介電常數介電層1003上沉積。最終覆蓋層1404f可由覆蓋層1404的相同材料組成,並且最終覆蓋層亦可包括界面層1409。
在可選步驟1335中,將第14I圖所示的已暴露表面1405暴露於空氣。例如,在一些實施例中,最終覆蓋層1404f在一個處理系統中沉積,而待在半導體基板1001上執行的下一處理步驟(亦即,步驟1336)在不同的處理系統中執行。因此,在此種實施例中,在沉積最終覆蓋層1404f之後,將半導體基板1001暴露於空氣。在實施例中,其中最終覆蓋層1404f在多腔室處理系統的一個腔室中沉積,並且步驟1336在同一多腔室處理系統的一個或兩個其他處理腔室中執行,不執行可選步驟1335。
在步驟1336中,如第14J圖所示,單步電漿氫化及氮化製程在最終覆蓋層1404的表面1405上執行。在步驟1336中執行的單步電漿氫化及氮化製程可實質上類似於步驟1304中採用的製程。隨後,界面層1409變厚可在步驟1336期間消除或減少,由此去除在最終覆蓋層1404f、界面層1009、及在一些實施例中高介電常數介電層1003中存在的O原子。因此,在不按比例縮小高介電常數介電層1003的厚度1003A的情況下,金屬閘結構1000的EOT減小。
在步驟1336中執行單步電漿氫化及氮化製程之後,方法1300繼續進行到步驟1307,其中沉積金屬閘結構1000的最終層。在實施例中,其中在不同處理系統中執行步驟1336及1307,必須將半導體基板1001暴露於空氣。然而,因為步驟1336的電漿氮化製程可完全或幾乎完全氮化最終覆蓋層1404f的已暴露表面1405,在此空氣暴露期間通常極少發生其氧化或不發生其氧化。
在本文揭示的實施例中,採用連續氫化及氮化製程、或單步氫化及氮化製程以賦能形成具有與經由習知方法形成的類似結構相比減小的EOT的金屬閘結構。電漿氫化製程及隨後的電漿氮化製程在膜堆疊中的金屬氮化物層中執行,由此在一些實施例中,去除在膜堆疊層內設置的O原子,並且在一些實施例中減小或防止膜堆疊內設置的含氧界面層變厚,並且在一些實施例中,將N原子添加到膜堆疊層。因此,金屬閘結構的EOT減小,而有極少或沒有伴隨的平帶電壓偏移。另外,金屬閘結構以增加的洩漏電流操作,該洩漏電流低至與經由習知技術形成的類似金屬閘結構相關聯的洩漏電流增加的四分之一。
儘管上述內容涉及本揭示案的實施例,本揭示案的其他及進一步實施例可在不脫離其基本範疇的情況下設計,並且其範疇由以下申請專利範圍決定。
100:導電結構 101:源極或汲極結構 102:第一金屬層 103:金屬氮化物層 104:覆蓋層 105:矽化物 106:導電層 109:接觸阱 110:半導體基板 120:絕緣材料 200:部分 201:表面 211:主體O原子 212:表面O原子 213:空位 310:XPS光譜 320:XPS光譜 400:電漿處理腔室 404:基板支撐底座 406:腔室壁 408:腔室蓋 410:感應線圈元件 412:第一阻抗匹配網路 414:RF電源 416:電氣接地 417:電氣接地 418:屏蔽電極 419:電氣接地 420:開關 422:偵測器 424:第二阻抗匹配網路 426:偏置電源 428:基板 430:氣體面板 432:入口埠 434:氣體混合物 436:電漿 438:節流閥 440:真空泵 442:氣體來源 444:氣體管道 446:控制器 448:中央處理單元(central processing unit; CPU) 450:記憶體 452:支援電路 500:多腔室處理系統 506:傳遞腔室 508:緩衝腔室 510:單晶圓裝載閘 512:單晶圓裝載閘 513:加熱元件 514:處理腔室 516:處理腔室 518:處理腔室 520:處理腔室 522:處理腔室 523:預熱腔室 524:處理腔室 525:預熱腔室 526:機器人 528:機器人 530:電腦系統 600:方法 601:步驟 603:步驟 604:步驟 605:步驟 701:表面 703:電漿激發的氮物種 800:方法 801:步驟 802:步驟 803:步驟 804:步驟 805:步驟 900:方法 901:步驟 902:步驟 903:步驟 905:步驟 1000:金屬閘結構 1001:半導體基板 1002:界面層 1003:高介電常數介電層 1003A:厚度 1004A:厚度 1004:金屬氮化物覆蓋層 1005:金屬閘電極層 1009:界面層 1100:方法 1101:步驟 1102:步驟 1103:步驟 1104:步驟 1105:步驟 1106:步驟 1107:步驟 1121:步驟 1122:步驟 1123:步驟 1131:步驟 1132:步驟 1133:步驟 1134:步驟 1135:步驟 1136:步驟 1201:已暴露表面 1202:犧牲矽層 1203:犧牲矽層 1205:已暴露表面 1300:方法 1301:步驟 1302:步驟 1303:步驟 1304:步驟 1305:步驟 1306:步驟 1307:步驟 1321:步驟 1322:步驟 1323:步驟 1331:步驟 1332:步驟 1333:步驟 1334:步驟 1335:步驟 1336:步驟 1401:表面 1404:覆蓋層 1404f:最終覆蓋層 1409:界面層
為了能夠詳細理解本揭示案的上述特徵所用方式,可參考實施例進行對上文簡要概述的本揭示案的更特定描述,一些實施例在附圖中示出。然而,將注意,附圖僅示出本揭示案的常見實施例,並且由此不被認為限制其範疇,因為本揭示可允許其他等同有效的實施例。
第1圖示出了根據本揭示案的一實施例的在基板上形成作為半導體元件的部分的接觸結構的橫截面圖。
第2A圖至第2E圖係根據本揭示案的一實施例的在製造接觸結構的各個階段處的第1圖的接觸結構內的金屬氮化物層的示意圖。
第3圖係根據本揭示案的一實施例的用於在處理之前沉積及熱退火的TiN膜的X射線光電子光譜學(X-ray Photoelectron Spectroscopy; XPS)光譜310及用於在處理之後的同一沉積及熱退火的TiN膜的XPS光譜320。
第4圖係經配置為實施本揭示案的一或更多個態樣的處理腔室的橫截面側視圖。
第5圖係經配置為實施本揭示案的一或更多個態樣的多腔室處理系統的俯視平面圖。
第6圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。
第7A圖至第7E圖係根據本揭示案的各個實施例的對應於第6圖的製程的不同階段的半導體元件的示意性橫截面圖。
第8圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。
第9圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。
第10圖示出了根據本揭示案的一實施例形成的金屬閘結構的橫截面圖。
第11圖闡明了根據本揭示案的各個實施例的用於減小金屬閘結構中的有效氧化物厚度(effective oxide thickness; EOT)的處理步驟的流程圖。
第12A圖至第12J圖係根據本揭示案的各個實施例的對應於第11圖的製程的不同階段的半導體元件的示意性橫截面圖。
第13圖闡明了根據本揭示案的各個實施例的用單步氫化及氮化製程處理金屬閘結構的處理步驟的流程圖。
第14A圖至第14J圖係根據本揭示案的各個實施例的對應於第13圖的製程的不同階段的半導體元件的示意性橫截面圖。
為了便於理解,相同元件符號在可能的情況下已經用於標識圖中共有的相同元件。可預期,一個實施例的元件及特徵可有利地併入其他實施例中,而無需進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
1000:金屬閘結構
1001:半導體基板
1002:界面層
1003:高介電常數介電層
1401:表面
1404:覆蓋層
1409:界面層

Claims (20)

  1. 一種在一半導體元件中形成一結構的方法,該方法包含以下步驟: 在一基板的一表面上方形成的一高介電常數介電層上沉積一金屬氮化物覆蓋層;及將該沉積的金屬氮化物覆蓋層的一已暴露表面暴露於包含一第一氣體及一第二氣體的一電漿,該第一氣體包含一含氫物種,該第二氣體包含一含氮物種,其中在該第一氣體中的該含氫物種包含氮。
  2. 如請求項1所述之方法,其中該金屬氮化物覆蓋層包含選自由鈦、鉭及鎢組成的一群組的一金屬。
  3. 如請求項1所述之方法,其中將一基板偏壓施加到該半導體基板,同時將該已暴露表面暴露於該電漿。
  4. 如請求項1所述之方法,進一步包含: 在該半導體基板的一表面上形成一含二氧化矽的界面層,其中該高介電常數介電層在該半導體基板上形成的該含二氧化矽的界面層上形成。
  5. 如請求項1所述之方法,進一步包含: 在將該已暴露表面暴露於該電漿之後,在該沉積的金屬氮化物覆蓋層的該已暴露表面上沉積一含矽層;在該含矽層上執行一熱退火製程;及去除該含矽層。
  6. 如請求項1所述之方法,進一步包含: 在該高介電常數介電層上沉積一犧牲層;在該犧牲層上沉積一含矽層;在該犧牲層及該含矽層上執行一熱退火製程;及在該高介電常數介電層上沉積該金屬氮化物覆蓋層之前,去除該犧牲層及該含矽層。
  7. 如請求項1所述之方法,進一步包含:在將該已暴露表面暴露於該電漿激發的氫物種及該電漿激發的氮物種之前,在一處理腔室的至少一個表面上執行一無氧電漿處理製程,其中當在該處理腔室內不設置該半導體基板時將該已暴露表面暴露於該電漿激發的氫物種。
  8. 如請求項1所述之方法,其中該金屬氮化物覆蓋層包含鈦及氮,且該電漿激發的氫物種包含氨,並且該電漿激發的氮物種包含氮氣(N2 )。
  9. 如請求項1所述之方法,其中該電漿激發的氫物種包含氨,並且該電漿激發的氮物種包含氮氣(N2 )。
  10. 如請求項9所述之方法,其中將該已暴露表面暴露於該電漿激發的氫物種及該電漿激發的氮物種之步驟進一步包含:將該已暴露表面暴露於氬(Ar)。
  11. 一種在一半導體元件中形成一結構的方法,該方法包含以下步驟: 在一半導體基板上沉積一高介電常數介電層;在該高介電常數介電層上沉積一覆蓋層;將該覆蓋層的一已暴露表面暴露於一電漿激發的氫物種及一電漿激發的氮物種;將該已暴露表面暴露於空氣;及在該高介電常數介電層及該覆蓋層上在一特定溫度下執行一熱退火製程達一特定時間。
  12. 如請求項11所述之方法,其中該覆蓋層包含氮及一金屬。
  13. 如請求項12所述之方法,其中該覆蓋層包含鈦(Ti)。
  14. 如請求項11所述之方法,進一步包含:在沉積該高介電常數介電層之前,形成一含二氧化矽的界面層,其上隨後形成該高介電常數介電層。
  15. 如請求項11所述之方法,進一步包含: 在該已暴露表面上沉積一含矽層;在該含矽層上執行一次要熱退火製程;及去除該含矽層。
  16. 如請求項11所述之方法,進一步包含: 在該高介電常數介電層上沉積一犧牲層;在該犧牲層上沉積一含矽層;在該犧牲層及該含矽層上執行一第三熱退火製程;及去除該犧牲層及該含矽層。
  17. 如請求項11所述之方法,進一步包含:在將該已暴露表面暴露於該電漿激發的氫物種及該電漿激發的氮物種之前,在一處理腔室上執行一無氧電漿處理製程,其中將該已暴露表面暴露於該電漿激發的氫物種。
  18. 如請求項11所述之方法,其中將一基板偏壓施加到該半導體基板,同時將該已暴露表面暴露於該電漿激發的氫物種及該電漿激發的氮物種。
  19. 如請求項11所述之方法,其中該電漿激發的氫物種包含氨,並且該電漿激發的氮物種包含氮氣(N2 )。
  20. 一種在一半導體元件中形成一結構的方法,該方法包含以下步驟: 在一半導體基板上沉積一高介電常數介電層;在該高介電常數介電層上沉積一覆蓋層以形成該結構的一部分,其中該部分包括該覆蓋層及該高介電常數介電層,並且其中該沉積的覆蓋層具有一已暴露表面;及將該已暴露表面暴露於一電漿激發的氫物種及一電漿激發的氮物種,其中該電漿激發的氫物種包含氨,並且該電漿激發的氮物種包含氮氣(N2 )。
TW108137856A 2019-01-09 2019-10-21 在半導體元件中形成用於改良有效氧化物厚度之結構的方法 TWI739176B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/244,051 US10510545B2 (en) 2016-06-20 2019-01-09 Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US16/244,051 2019-01-09

Publications (2)

Publication Number Publication Date
TW202027171A true TW202027171A (zh) 2020-07-16
TWI739176B TWI739176B (zh) 2021-09-11

Family

ID=71521286

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108137856A TWI739176B (zh) 2019-01-09 2019-10-21 在半導體元件中形成用於改良有效氧化物厚度之結構的方法
TW110129378A TWI777717B (zh) 2019-01-09 2019-10-21 用於改良膜的有效氧化物厚度之氫化與氮化製程

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110129378A TWI777717B (zh) 2019-01-09 2019-10-21 用於改良膜的有效氧化物厚度之氫化與氮化製程

Country Status (5)

Country Link
JP (1) JP7439101B2 (zh)
KR (2) KR20230120647A (zh)
CN (1) CN113396470A (zh)
TW (2) TWI739176B (zh)
WO (1) WO2020146030A1 (zh)

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW541659B (en) * 2002-04-16 2003-07-11 Macronix Int Co Ltd Method of fabricating contact plug
TWI333236B (en) * 2002-12-02 2010-11-11 Tadahiro Ohmi Semiconductor device and method of manufacturing the same
TWI408734B (zh) * 2005-04-28 2013-09-11 Semiconductor Energy Lab 半導體裝置及其製造方法
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP5380827B2 (ja) * 2006-12-11 2014-01-08 ソニー株式会社 半導体装置の製造方法
US8178445B2 (en) * 2009-06-10 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of semiconductor device using plasma generation
KR101811203B1 (ko) * 2009-12-25 2017-12-22 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 이를 제작하기 위한 방법
US8048810B2 (en) * 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US9006860B2 (en) * 2011-12-06 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate features of semiconductor die
US8716118B2 (en) * 2012-01-06 2014-05-06 International Business Machines Corporation Replacement gate structure for transistor with a high-K gate stack
US8901556B2 (en) * 2012-04-06 2014-12-02 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
JP6086933B2 (ja) * 2015-01-06 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9576788B2 (en) * 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US10019025B2 (en) * 2015-07-30 2018-07-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US9837504B2 (en) * 2015-10-28 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
TWI726951B (zh) * 2015-12-17 2021-05-11 美商應用材料股份有限公司 處理氮化物膜之方法
US10103027B2 (en) * 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film

Also Published As

Publication number Publication date
JP7439101B2 (ja) 2024-02-27
KR20230120647A (ko) 2023-08-17
CN113396470A (zh) 2021-09-14
TWI739176B (zh) 2021-09-11
KR102553773B1 (ko) 2023-07-11
KR20210102465A (ko) 2021-08-19
TW202145372A (zh) 2021-12-01
JP2022518386A (ja) 2022-03-15
WO2020146030A1 (en) 2020-07-16
TWI777717B (zh) 2022-09-11

Similar Documents

Publication Publication Date Title
US10431466B2 (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US7446052B2 (en) Method for forming insulation film
US7385265B2 (en) High dielectric constant MOSFET device
KR100645306B1 (ko) 기판 처리 방법
JP4408653B2 (ja) 基板処理方法および半導体装置の製造方法
US9224594B2 (en) Surface preparation with remote plasma
SG176700A1 (en) Methods of forming oxide layers on substrates
US10504779B2 (en) Hydrogenation and nitridization processes for reducing oxygen content in a film
US10347492B2 (en) Modifying work function of a metal film with a plasma process
JP4083000B2 (ja) 絶縁膜の形成方法
WO2004107451A1 (ja) Mis型電界効果トランジスタを備える半導体装置及びその製造方法並びに金属酸化膜の形成方法
TWI739176B (zh) 在半導體元件中形成用於改良有效氧化物厚度之結構的方法
JP2024073427A (ja) 膜の有効酸化物厚さを変更するための水素化及び窒化処理
JP2007251204A (ja) 絶縁膜の形成方法
US20120196433A1 (en) Method of manufacturing a semiconductor device
US20220231137A1 (en) Metal cap for contact resistance reduction
US20230178375A1 (en) Methods for forming work function modulating layers
TW202412185A (zh) 防止鋁擴散之阻障層