CN113807041A - 一种电路系统的仿真方法、装置、电子设备及存储介质 - Google Patents

一种电路系统的仿真方法、装置、电子设备及存储介质 Download PDF

Info

Publication number
CN113807041A
CN113807041A CN202111220922.XA CN202111220922A CN113807041A CN 113807041 A CN113807041 A CN 113807041A CN 202111220922 A CN202111220922 A CN 202111220922A CN 113807041 A CN113807041 A CN 113807041A
Authority
CN
China
Prior art keywords
circuit
simulation
analog
digital
black box
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111220922.XA
Other languages
English (en)
Inventor
陈岚
尹明会
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN202111220922.XA priority Critical patent/CN113807041A/zh
Publication of CN113807041A publication Critical patent/CN113807041A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/38Circuit design at the mixed level of analogue and digital signals
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/02System on chip [SoC] design

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本申请提供了一种电路系统的仿真方法、装置、电子设备及存储介质,该方法包括:在获取到待仿真电路系统的电路设计架构之后,基于电路设计架构分别创建出待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒;基于数字电路仿真黑盒和模拟电路仿真黑盒,生成待仿真电路系统的数模混纺环境;在判断出接收到待仿真电路系统的实际设计电路之后,利用实际设计电路对数模混纺环境执行实体替换操作,得到实际数模混纺环境;最后,触发实际数模混纺环境的仿真运行指令,得到待仿真电路系统的仿真结果,能够在电路设计人员进行电路设计的同时,借用黑盒替代未完成的电路,并行完成对应电路系统仿真环境的设置,缩短了电路系统开发和仿真验证步骤的时间差。

Description

一种电路系统的仿真方法、装置、电子设备及存储介质
技术领域
本发明涉及集成电路设计技术领域,特别属于集成电路设计自动化领域,具体涉及一种电路系统的仿真方法、装置、电子设备及存储介质。
背景技术
SoC(Systerm on Chip,片上系统)的设计流程通常包括系统架构、算法设计与优化、电路系统开发、电路系统仿真验证,版图设计、物理验证等自顶向下的串行设计方式。电路系统设计的每个环节都有相应的商业标准EDA工具。比如Synopsys、Cadence、Empyrean等公司开发的系统电路设计EDA(Electronic Design Automatic,电子设计自动化)工具,可为电路系统设计者提供强大的数模混合电路设计功能和仿真验证功能。
在实际SoC电路系统的开发和仿真过程中,往往数字电路、模拟电路以及电路系统的仿真环节的开发和验证人员都是由不同的工程师去完成。
现有的仿真流程需要验证工程师基于已完成的数字电路和模拟电路,来完成整个电路系统仿真环境的搭建,并基于搭建的环境进行电路仿真,因此电路系统仿真环境的搭建和开发存在串行工作模式,使得项目中仿真工作等待周期较长,增加了芯片研发周期,造成了芯片上市时间的增加。
发明内容
对此,本申请提供一种电路系统的仿真方法、装置、电子设备及存储介质,以解决电路系统的仿真环境的搭建和开发存在串行工作模式,使得项目中仿真工作等待周期较长,导致的芯片研发周期长,芯片上市时间慢的问题。
为实现上述目的,本发明实施例提供如下技术方案:
本发明第一方面公开了一种电路系统的仿真方法,包括:
在获取到待仿真电路系统的电路设计架构之后,基于所述电路设计架构,分别创建出所述待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒;
基于所述数字电路仿真黑盒和所述模拟电路仿真黑盒,生成所述待仿真电路系统的数模混纺环境;
判断是否接收到所述待仿真电路系统的实际设计电路;其中,所述实际设计电路是依据所述电路设计架构进行电路设计得到的;
若判断结果为是,则利用所述实际设计电路对所述数模混纺环境执行实体替换操作,得到实际数模混纺环境;
触发所述实际数模混纺环境的仿真运行指令,得到所述待仿真电路系统的仿真结果。
可选地,在上述电路系统的仿真方法中,基于所述电路设计架构,分别创建出所述待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒,包括:
分别采集所述电路设计架构中数字电路的接口信息和模拟电路的引脚信息;
分别基于所述接口信息和所述引脚信息,创建出所述数字电路仿真黑盒以及所述模拟电路仿真黑盒。
可选地,在上述电路系统的仿真方法中,基于所述数字电路仿真黑盒和所述模拟电路仿真黑盒,生成所述待仿真电路系统的数模混纺环境,包括:
调用所述数字电路仿真黑盒和所述模拟电路仿真黑盒,确定出所述待仿真电路系统的顶层电路原理图;
确定出所述顶层电路原理图进行仿真所需的仿真数据包;其中,所述仿真数据包包括:仿真参数、脚本数据以及激励源数据;
基于所述顶层电路原理图和所述仿真数据包,生成所述待仿真电路系统的数模混纺环境。
可选地,在上述电路系统的仿真方法中,利用所述实际设计电路对所述数模混纺环境执行实体替换操作,得到实际数模混纺环境,包括:
分别确定出所述实际设计电路中的数字电路和模拟电路;
将所述实际设计电路中的所述数字电路和所述模拟电路,对应替换所述数模混纺环境中的所述数字电路仿真黑盒和所述模拟电路仿真黑盒,得到所述实际数模混纺环境。
可选地,在上述电路系统的仿真方法中,在触发所述实际数模混纺环境的仿真运行指令,得到所述待仿真电路系统的仿真结果之后,还包括:
将所述仿真结果进行展示。
本发明第二方面公开了一种电路系统的仿真装置,包括:
创建单元,用于在获取到待仿真电路系统的电路设计架构之后,基于所述电路设计架构,分别创建出所述待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒;
生成单元,用于基于所述数字电路仿真黑盒和所述模拟电路仿真黑盒,生成所述待仿真电路系统的数模混纺环境;
判断单元,判断是否接收到所述待仿真电路系统的实际设计电路;其中,所述实际设计电路是依据所述电路设计架构进行电路设计得到的;
替换单元,用于若判断结果为是,则利用所述实际设计电路对所述数模混纺环境执行实体替换操作,得到实际数模混纺环境;
触发单元,用于触发所述实际数模混纺环境的仿真运行指令,得到所述待仿真电路系统的仿真结果。
可选地,在上述电路系统的仿真装置中,所述创建单元具体用于:
分别采集所述电路设计架构中数字电路的接口信息和模拟电路的引脚信息;
分别基于所述接口信息和所述引脚信息,创建出所述数字电路仿真黑盒以及所述模拟电路仿真黑盒。
可选地,在上述电路系统的仿真装置中,所述生成单元具体用于:
调用所述数字电路仿真黑盒和所述模拟电路仿真黑盒,确定出所述待仿真电路系统的顶层电路原理图;
确定出所述顶层电路原理图进行仿真所需的仿真数据包;其中,所述仿真数据包包括:仿真参数、脚本数据以及激励源数据;
基于所述顶层电路原理图和所述仿真数据包,生成所述待仿真电路系统的数模混纺环境。
可选地,在上述电路系统的仿真装置中,所述替换单元具体用于:
分别确定出所述实际设计电路中的数字电路和模拟电路;
将所述实际设计电路中的所述数字电路和所述模拟电路,对应替换所述数模混纺环境中的所述数字电路仿真黑盒和所述模拟电路仿真黑盒,得到所述实际数模混纺环境。
可选地,在上述电路系统的仿真装置中,还包括:
展示单元,用于将所述仿真结果进行展示。
本发明第三方面公开了一种电子设备,包括:存储器和处理器;
所述存储器用于存储计算机指令;
所述处理器用于在执行所述存储器存储的计算机指令时,具体执行如第一方面公开的任一项所述的电路系统的仿真方法。
本发明第四方面公开了一种存储介质,用于存储程序,所述程序被执行时,用于实现如第一方面公开的任一项所述的电路系统的仿真方法。
本发明提供的电路系统的仿真方法,包括:在获取到待仿真电路系统的电路设计架构之后,基于电路设计架构分别创建出待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒;基于数字电路仿真黑盒和模拟电路仿真黑盒,生成待仿真电路系统的数模混纺环境;判断是否接收到待仿真电路系统的实际设计电路;其中,实际设计电路是依据电路设计架构进行电路设计得到的;若判断结果为是,则利用实际设计电路对数模混纺环境执行实体替换操作,得到实际数模混纺环境;触发实际数模混纺环境的仿真运行指令,得到待仿真电路系统的仿真结果;也即,本申请能够在电路设计人员进行电路设计的同时,借用黑盒替代未完成的电路,并行完成对应电路系统仿真环境的设置,缩短了电路系统开发和仿真验证步骤的时间差,解决电路系统的仿真环境的搭建和开发存在串行工作模式,使得项目中仿真工作等待周期较长,导致的芯片研发周期长,芯片上市时间慢的问题。
附图说明
为了更清楚地说明本发明实施例或现有技术中的技术方案,下面将对实施例或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据提供的附图获得其他的附图。
图1为本申请实施例提供的一种电路系统的仿真方法的流程图;
图2为本申请实施例一种仿真黑盒的创建流程图;
图3为本申请实施例提供的一种数模混仿环境的生成流程图;
图4为本申请实施例提供的一种实际数模混仿环境的获得流程图;
图5为本申请实施例提供的另一种电路系统的仿真方法的流程图;
图6为本申请提供的一种电路系统的仿真装置的结构示意图;
图7为本申请提供的另一种电路系统的仿真装置的结构示意图;
图8为本申请提供的一种电子设备的结构示意图;
图9为本申请提供的另一种电子设备的结构示意图。
具体实施方式
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本发明保护的范围。
本申请实施例提供一种电路系统的仿真方法,以解决电路系统的仿真环境的搭建和开发存在串行工作模式,使得项目中仿真工作等待周期较长,导致的芯片研发周期长,芯片上市时间慢的问题。
请参见图1,该电路系统的仿真方法可以包括如下步骤:
S100、在获取到待仿真电路系统的电路设计架构之后,基于电路设计架构分别创建出待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒。
其中,待仿真电路系统是需要进行仿真验证,但未进行仿真验证的电路系统。
待仿真电路系统的电路设计架构是电路设计者或相关人员在进行实际设计电路的设计时,所依据的前期架构;其一般可以包括构成待仿真电路系统的数字电路和模拟电路的大体框架和功能。
实际应用中,执行步骤S100中的基于电路设计架构,分别创建出待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒的具体过程可以如图2所示,可以包括如下步骤:
S200、分别采集电路设计架构中数字电路的接口信息和模拟电路的引脚信息。
其中,数字电路的接口信息可以是电路设计者或者相关人员,对电路设计架构中的数字电路中各个数字单元的接口信息进行采集后得到的。而模拟电路的引脚信息的采集则可以通过绘制电路设计架构中关于模拟电路所有引脚的原理图,并根据绘制所得的原理图采集得到。
当然,还可以通过现有的其他方式,分别采集到电路设计架构中数字电路的接口信息和模拟电路的引脚信息,本申请对采集方法不作具体限定,无论采用何种方式,均属于本申请的保护范围。
S202、分别基于接口信息和引脚信息,创建出数字电路仿真黑盒以及模拟电路仿真黑盒。
其中,依据数字电路的接口信息可以创建出数字电路仿真黑盒以及得到相应的数字网表文件。依据模拟电路的引脚信息可以创建出模拟电路仿真黑盒以及得到对应的模拟网表文件。
实际应用中,若该模拟电路的引脚信息中存在浮点引脚,为了避免所得的模拟网表文件中浮点引脚的自动优化。在模拟电路仿真黑盒的创建过程中,可以将各个浮点引脚都进行合理的连接,例如可以将每一个输入浮点引脚都接入相应电阻,然后并联接输出,以避免浮点引脚被自动优化。
此外,还可以根据具体应用情况和用户需求,通过其他现有方式来避免模拟网表文件中浮点引脚的自动优化,无论采用何种方式实现,均属于本申请的保护范围。
S102、基于数字电路仿真黑盒和模拟电路仿真黑盒,生成待仿真电路系统的数模混纺环境。
实际应用中,执行步骤S102、基于数字电路仿真黑盒和模拟电路仿真黑盒,生成待仿真电路系统的数模混纺环境的具体过程可如图3所示,可以包括如下步骤:
S300、调用数字电路仿真黑盒和模拟电路仿真黑盒,确定出待仿真电路系统的顶层电路原理图。
实际应用中,可以调用数字电路仿真黑盒和模拟电路仿真黑盒,进行系统电路顶层原理图设计,得到待仿真电路系统的顶层电路原理图。
S302、确定出顶层电路原理图进行仿真所需的仿真数据包。
其中,仿真数据包可以包括:仿真参数、脚本数据以及激励源数据。
实际应用中,仿真参数可以通过仿真器图形化界面获取得到。其中,仿真器图形化界面可以包括:添加模型库选择控件,设置仿真类型(直流DC、瞬态TRAN、交流AC等)选择控件、信号设置选择控件(例如,指定需要验证分写的输出信号控件)、仿真器类型(Hspice、ALPS、ALPS-MS、Spectre等)选择控件、最底层视图展示控件(在Partitioning Options(分割选项)中设置数模混合仿真的最底层视图(Stop View)的类型)及数模/模数转换电平选择控件(在IE Manager中设置数模/模数转换电平)等等,视具体应用环境和用户需求确定即可,本申请不作具体限定,均属于本申请的保护范围。
具体的,设置数模混合仿真的最底层视图的类型,一般可以包括:模拟部分StopView的设置,包含但不限于hspiceD,Veriloga,Spectre,ahdl,spice,Symbol等;数字部分Stop View的设置,包含但不限于Verilog(数字电路的硬件描述语言),VerilogAMS(数模混合仿真硬件语言),Behavior(数字电路行为级语言),Functional(数字电路功能级语言)等。
脚本数据可以是在仿真过程中,运行基于该顶层电路原理图和仿真数据生成的数模混纺环境对应的脚本程序。具体的,脚本数据可以根据实际仿真要求,在脚本化模板架构中找到相适配的脚本模板,并利用相适配的脚本模板中的数据作为进行仿真所需的仿真数据包中的脚本数据。
实际应用中,脚本化模板一般可以包括:模型区、网表区、用户配置区、脚本区、仿真运行区。其中,模型区可命名为models,为所有spice model(器件级模型文件)的存放区。网表区可命名为src,为所有数字电路和模拟电路设计代码和网表的存放区。用户配置区可命名为user_define,为所有需要用户自行定义的配置文件的存放区。
需要说明的是,在仿真验证执行前,用户需可以根据实际情况完成配置区中的相关选项的修改和配置,包括但不限于:通用global仿真设置、数模混合电路仿真设置文件、模拟电路仿真设置等。脚本区可命名为scripts,为数模混合系统仿真全流程控制脚本的存放区。仿真运行区可命名为simulation,为数模混合仿真运行位置,当所有设置都设置完毕后便可以进入该区中,运行仿真运行文件;运行完毕后,会在simulation区中产生一个result文件夹,所有的运行结果都会纪录于该文件夹中。
具体的,用户配置区一般包含三种需要用户设置的文件:1)通用仿真设置文件(sim_config.tcl),包含模拟电路仿真和数模混合电路仿真中共有的仿真设置选项;2)数模混合电路仿真设置文件(ms_sim_options.tcl),只包含数模混合电路仿真的设置选项;数模端口连接mapping文件;设置存放仿真结果的路径,如果该变量值为空,则默认将仿真结果放置于当前路径下;3)模拟电路仿真设置文件(spice_sim_options.tcl),只包含模拟电路仿真的设置选项,比如查找路径(search_path),波形格式等信息。
激励源数据是允许能量信号流入或流出该顶层电路原理图的信号数据,其可以根据激励电路得到;当然,并不仅限于此,还可视具体应用环境和用户需求确定,本申请不作具体限定,均属于本申请的保护范围。
S304、基于顶层电路原理图和仿真数据包,生成待仿真电路系统的数模混纺环境。
其中,依据待仿真电路系统的顶层电路原理图和仿真数据包,可以生成待仿真电路系统的数模混纺环境。
实际应用中,依据该数模混纺环境还可以生成层次化的系统仿真网表。该系统仿真网表可以包括但不仅限于如下类型网表:顶层电路原理图网表、数字电路的外包测试顶层代码网表、数字电路的Verilog网表、模拟电路与激励电路的网表、数模端口连接映射(mapping)文件网表。
其中,顶层电路图网表,可命名为TopDesign_Config_Norminal.sp,网表中包含所有仿真选项的配置信息。数字电路的外包测试顶层代码网表,可命名为TopDesign_Config_Norminal.digital.sp,其中波形的保存路径以及需要dump的信号范围可以根据需要进行修改。数字电路的Verilog网表,可命名为TopDesign_Config.digital,网表中除了之前准备的数字电路代码之外,还产生了“TSET_TopDesign”模块,该模块将数字输入接口包成ms****的中间verilog reg的格式。模拟电路与激励电路的网表,可命名为TopDesign_Config.netlist;由于数模混合电路仿真设置时(IE Manager中)设置了数模和模数转换信息,因此该网表中自动包含设置好的a2d和d2a单元。数模端口连接映射文件网表,可命名为ie.config;该文件分三列,第一列为连接单元的类型(数模转换单元还是模数转换单元),第二列为待连接的数字端接口名称,第三列为与数字端接口对应的模拟端接口名称。
S104、判断是否接收到待仿真电路系统的实际设计电路。
其中,实际设计电路是依据电路设计架构进行电路设计得到的。具体的,可以是电路设计者或相关人员依据电路设计架构完成电路设计后得到的。
若判断结果为是,也即判断出接收到待仿真电路系统的实际设计电路,则执行步骤S104。若判断结果为否,也即判断出未接收到待仿真电路系统的实际设计电路,则可以返回执行判断是否接收到待仿真电路系统的实际设计电路的步骤,直至判断出接收到待仿真电路系统的实际设计电路。
需要说明的是,判断出接收到待仿真电路系统的实际设计电路之后,就意味着电路设计者或相关人员已经依据电路设计架构,完成电路系统的实体电路设计。
S106、利用实际设计电路对数模混纺环境执行实体替换操作,得到实际数模混纺环境。
实际应用中,执行步骤S106、利用实际设计电路对数模混纺环境执行实体替换操作,得到实际数模混纺环境的具体过程可如图4所示,可以包括如下步骤:
S400、分别确定出实际设计电路中的数字电路和模拟电路。
实际应用中,实际设计电路一般包括设计完成的数字电路和模拟电路。可以根据数字电路和模拟电路中功能作用的不同,确定出电路所属类型,从而确定出实际设计电路中的数字电路和模拟电路。
当然,确定出实际设计电路中数字电路和模拟电路的方式还可以参见现有技术,本申请不再赘述,均属于本申请的保护范围。
S402、将实际设计电路中的数字电路和模拟电路,对应替换数模混纺环境中的数字电路仿真黑盒和模拟电路仿真黑盒,得到实际数模混纺环境。
实际应用中,将数模混纺环境中的数字电路仿真黑盒替换成实际设计电路中的数字电路,以及将数模混纺环境中的模拟电路仿真黑盒替换出实际设计电路中的模拟电路之后,数模混纺环境中的数字电路仿真黑盒和模拟电路仿真黑盒就能够具有具体电路结构,进而能够得到与待仿真电路系统的实际设计电路实际对应的,且能够进行仿真验证的实际数模混纺环境。
其中,将数字电路仿真黑盒替换成实际设计电路中的数字电路的方式可以是:在用户配置区指定实际数字电路Verilog文件,使仿真器能够找到数字电路文件。将模拟电路仿真黑盒替换成实际设计电路中的模拟电路的方式可以是:在用户配置区指定实际模拟电路网表文件,并将模拟电路仿真黑盒的电路定义注释掉;同时,需要保证实际设计电路中模拟电路端口的顺序与仿真黑盒中保持一致,具体方法是:打开模拟电路的顶层hspice网表文件,将模拟电路与激励电路的网表中关于模拟子电路SUBCKT的定义代码,拷贝至模拟电路的hspice网表文件中顶层cell的定义中,该操作可以确保模拟模块端口顺序与仿真系统中保持一致,实现了模拟电路的正确仿真黑盒替换。
S108、触发实际数模混纺环境的仿真运行指令,得到待仿真电路系统的仿真结果。
实际应用中,在触发实际数模混仿环境的仿真运行指令之后,可以运行实际数模混纺环境对应的脚本数据,实现对实际数模混纺环境的仿真验证操作,并根据运行结果得到待仿真电路系统的仿真结果。
其中,可以在将待仿真电路系统切换至仿真运行区后,视为触发实际数模混仿环境的仿真运行指令。具体的,仿真指令的类型可以包括:模拟仿真指令或者数模混合仿真指令。若为数模混合仿真指令,则可以在该路径下执行命令:run_simulatorms;如果为模拟仿真指令,则在该路径下执行命令:run_simulatorspice。
需要说明的是,在仿真运行结束后,仿真结果可以存储在以待仿真电路系统的顶层网表命名的文件夹中,该文件夹可以包括具体的仿真结果和日记log文件。
基于上述原理,本实施例提供的电流系统的仿真方法能够在电路设计人员进行电路设计的同时,借用黑盒替代未完成的电路,并行完成对应电路系统仿真环境的设置,缩短了电路系统开发和仿真验证步骤的时间差,解决电路系统的仿真环境的搭建和开发存在串行工作模式,使得项目中仿真工作等待周期较长,导致的芯片研发周期长,芯片上市时间慢的问题。
需要说明的是,实际应用中,为了方便仿真黑盒与实际电路之间的切换,还可以增设切换控件,通过该切换控件可以控制数字电路处于仿真黑盒状态或者处于实际电路状态。具体的,若该切换控件以开关的方式实现,开关关闭时可以表示数字电路处于仿真黑盒状态,也即执行黑盒仿真流程;开关打开时可以表示数字电路处于实际电路状态,也即执行实际代码仿真流程。
值得说明的是,针对仿真环境,对于经验丰富的电路设计者,其图形化的仿真环境和固定锁死的步骤并非最优组合,甚至比较低效率,特别是在电路系统的迭代优化阶段,即在工程复用方面,图形化的仿真环境会导致效率低下,而本申请提供的电路系统的仿真方法可以将仿真过程所需的脚本数据,开发成具体较高可移植性和操作简易的仿真验证脚本化模板,以此提高电路系统的仿真效率。
可选地,在本申请提供的另一实施例中,在执行步骤S105、触发实际数模混纺环境的仿真运行指令,得到待仿真电路系统的仿真结果之后,请参见图5,该电路系统的仿真方法还可以包括:
S500、将仿真结果进行展示。
实际应用中,还可以在波形浏览器中,将待仿真电路系统的数字电路或者模拟电路的仿真结果进行展示。
当然,并不仅限于此,还可以视具体应用环境和用户需求确定展示方式,均属于本申请的保护范围。
基于上述示出的电路系统的仿真方法,本申请另一实施例还提供了一种电路系统的仿真装置,请参考图6,该仿真装置可以包括:
创建单元100,用于在获取到待仿真电路系统的电路设计架构之后,基于所述电路设计架构,分别创建出所述待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒。
生成单元102,用于基于所述数字电路仿真黑盒和所述模拟电路仿真黑盒,生成所述待仿真电路系统的数模混纺环境。
判断单元104,判断是否接收到所述待仿真电路系统的实际设计电路;其中,所述实际设计电路是依据所述电路设计架构进行电路设计得到的。
替换单元106,用于若判断结果为是,则利用所述实际设计电路对所述数模混纺环境执行实体替换操作,得到实际数模混纺环境。
触发单元108,用于触发所述实际数模混纺环境的仿真运行指令,得到所述待仿真电路系统的仿真结果。
可选地,所述创建单元100具体用于:
分别采集所述电路设计架构中数字电路的接口信息和模拟电路的引脚信息。
分别基于所述接口信息和所述引脚信息,创建出所述数字电路仿真黑盒以及所述模拟电路仿真黑盒。
可选地,所述生成单元102具体用于:
调用所述数字电路仿真黑盒和所述模拟电路仿真黑盒,确定出所述待仿真电路系统的顶层电路原理图。
确定出所述顶层电路原理图进行仿真所需的仿真数据包;其中,所述仿真数据包包括:仿真参数、脚本数据以及激励源数据。
基于所述顶层电路原理图和所述仿真数据包,生成所述待仿真电路系统的数模混纺环境。
可选地,所述替换单元106具体用于:
分别确定出所述实际设计电路中的数字电路和模拟电路;
将所述实际设计电路中的所述数字电路和所述模拟电路,对应替换所述数模混纺环境中的所述数字电路仿真黑盒和所述模拟电路仿真黑盒,得到所述实际数模混纺环境。
可选地,如图7所示,该电路系统的仿真装置,还包括:
展示单元200,用于将所述仿真结果进行展示。
本实施例提供的电路系统的仿真装置,包括:创建单元,用于在获取到待仿真电路系统的电路设计架构之后,基于所述电路设计架构,分别创建出所述待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒;生成单元,用于基于所述数字电路仿真黑盒和所述模拟电路仿真黑盒,生成所述待仿真电路系统的数模混纺环境;判断单元,判断是否接收到所述待仿真电路系统的实际设计电路;其中,所述实际设计电路是依据所述电路设计架构进行电路设计得到的;替换单元,用于若判断结果为是,则利用所述实际设计电路对所述数模混纺环境执行实体替换操作,得到实际数模混纺环境;触发单元,用于触发所述实际数模混纺环境的仿真运行指令,得到所述待仿真电路系统的仿真结果;也即,本申请能够在电路设计人员进行电路设计的同时,借用黑盒替代未完成的电路,并行完成对应电路系统仿真环境的设置,缩短了电路系统开发和仿真验证步骤的时间差,解决电路系统的仿真环境的搭建和开发存在串行工作模式,使得项目中仿真工作等待周期较长,导致的芯片研发周期长,芯片上市时间慢的问题。
可选地,本申请另一实施例还提供了一种电子设备,请参见图8,该电子设备包括:存储器801和处理器802。
存储器801用于存储计算机指令。
处理器802用于在执行存储器801存储的计算机指令时,具体执行如上述任一实施例所述的电路系统的仿真方法。
进一步地,请参见图9,该电子设备还包括:
至少一个输入设备803以及至少一个输出设备804。
上述存储器801、处理器802、输入设备803和输出设备804通过总线805连接。
其中,输入设备803具体可为摄像头、触控面板、物理按键或者鼠标等等。输出设备具体可为显示屏。
存储器801可以是高速随机存取记忆体(RAM,Random Access Memory)存储器,也可为非易失的存储器(non-volatile memory),例如磁盘存储器。存储器用于存储一组可执行程序代码,处理器与存储器耦合。
需要说明的是,关于电路系统的仿真方法的相关说明,参见图1至图5对应的实施例即可,此处不再赘述。
可选地,本申请另一实施例还提供了一种存储介质,用于存储程序,所述程序被执行时,用于实现如上述任一实施例所述的电路系统的仿真方法。
其中,该存储介质可以是设置于上述各实施例中的电子设备中,该存储介质可以是前述图8或图9所示实施例中的电子设备。该存储介质上存储有计算机程序,该程序被处理器执行时实现前述图1至图5任一实施例中描述的一种电路系统的仿真方法。进一步地,该存储介质还可以是U盘、移动硬盘、只读存储器(ROM,Read-Only Memory)、随机存取存储器(RAM,Random Access Memory)、磁碟或者光盘等各种可以存储程序代码的介质。
需要说明的是,关于电路系统的仿真方法的相关说明,参见图1至图5对应的实施例即可,此处不再赘述。
本说明书中的各个实施例中记载的特征可以相互替换或者组合,各个实施例之间相同相似的部分互相参见即可,每个实施例重点说明的都是与其他实施例的不同之处。尤其,对于系统或系统实施例而言,由于其基本相似于方法实施例,所以描述得比较简单,相关之处参见方法实施例的部分说明即可。以上所描述的系统及系统实施例仅仅是示意性的,其中所述作为分离部件说明的单元可以是或者也可以不是物理上分开的,作为单元显示的部件可以是或者也可以不是物理单元,即可以位于一个地方,或者也可以分布到多个网络单元上。可以根据实际的需要选择其中的部分或者全部模块来实现本实施例方案的目的。本领域普通技术人员在不付出创造性劳动的情况下,即可以理解并实施。
专业人员还可以进一步意识到,结合本文中所公开的实施例描述的各示例的单元及算法步骤,能够以电子硬件、计算机软件或者二者的结合来实现,为了清楚地说明硬件和软件的可互换性,在上述说明中已经按照功能一般性地描述了各示例的组成及步骤。这些功能究竟以硬件还是软件方式来执行,取决于技术方案的特定应用和设计约束条件。专业技术人员可以对每个特定的应用来使用不同方法来实现所描述的功能,但是这种实现不应认为超出本发明的范围。
对所公开的实施例的上述说明,使本领域专业技术人员能够实现或使用本发明。对这些实施例的多种修改对本领域的专业技术人员来说将是显而易见的,本文中所定义的一般原理可以在不脱离本发明的精神或范围的情况下,在其它实施例中实现。因此,本发明将不会被限制于本文所示的这些实施例,而是要符合与本文所公开的原理和新颖特点相一致的最宽的范围。
还需要说明的是,在本文中,诸如第一和第二等之类的关系术语仅仅用来将一个实体或者操作与另一个实体或操作区分开来,而不一定要求或者暗示这些实体或操作之间存在任何这种实际的关系或者顺序。而且,术语“包括”、“包含”或者其任何其他变体意在涵盖非排他性的包含,从而使得包括一系列要素的过程、方法、物品或者设备不仅包括那些要素,而且还包括没有明确列出的其他要素,或者是还包括为这种过程、方法、物品或者设备所固有的要素。在没有更多限制的情况下,由语句“包括一个……”限定的要素,并不排除在包括所述要素的过程、方法、物品或者设备中还存在另外的相同要素。

Claims (12)

1.一种电路系统的仿真方法,其特征在于,包括:
在获取到待仿真电路系统的电路设计架构之后,基于所述电路设计架构,分别创建出所述待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒;
基于所述数字电路仿真黑盒和所述模拟电路仿真黑盒,生成所述待仿真电路系统的数模混纺环境;
判断是否接收到所述待仿真电路系统的实际设计电路;其中,所述实际设计电路是依据所述电路设计架构进行电路设计得到的;
若判断结果为是,则利用所述实际设计电路对所述数模混纺环境执行实体替换操作,得到实际数模混纺环境;
触发所述实际数模混纺环境的仿真运行指令,得到所述待仿真电路系统的仿真结果。
2.根据权利要求1所述的电路系统的仿真方法,其特征在于,基于所述电路设计架构,分别创建出所述待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒,包括:
分别采集所述电路设计架构中数字电路的接口信息和模拟电路的引脚信息;
分别基于所述接口信息和所述引脚信息,创建出所述数字电路仿真黑盒以及所述模拟电路仿真黑盒。
3.根据权利要求1所述的电路系统的仿真方法,其特征在于,基于所述数字电路仿真黑盒和所述模拟电路仿真黑盒,生成所述待仿真电路系统的数模混纺环境,包括:
调用所述数字电路仿真黑盒和所述模拟电路仿真黑盒,确定出所述待仿真电路系统的顶层电路原理图;
确定出所述顶层电路原理图进行仿真所需的仿真数据包;其中,所述仿真数据包包括:仿真参数、脚本数据以及激励源数据;
基于所述顶层电路原理图和所述仿真数据包,生成所述待仿真电路系统的数模混纺环境。
4.根据权利要求1所述的电路系统的仿真方法,其特征在于,利用所述实际设计电路对所述数模混纺环境执行实体替换操作,得到实际数模混纺环境,包括:
分别确定出所述实际设计电路中的数字电路和模拟电路;
将所述实际设计电路中的所述数字电路和所述模拟电路,对应替换所述数模混纺环境中的所述数字电路仿真黑盒和所述模拟电路仿真黑盒,得到所述实际数模混纺环境。
5.根据权利要求1-4任一项所述的电路系统的仿真方法,其特征在于,在触发所述实际数模混纺环境的仿真运行指令,得到所述待仿真电路系统的仿真结果之后,还包括:
将所述仿真结果进行展示。
6.一种电路系统的仿真装置,其特征在于,包括:
创建单元,用于在获取到待仿真电路系统的电路设计架构之后,基于所述电路设计架构,分别创建出所述待仿真电路系统的数字电路仿真黑盒和模拟电路仿真黑盒;
生成单元,用于基于所述数字电路仿真黑盒和所述模拟电路仿真黑盒,生成所述待仿真电路系统的数模混纺环境;
判断单元,判断是否接收到所述待仿真电路系统的实际设计电路;其中,所述实际设计电路是依据所述电路设计架构进行电路设计得到的;
替换单元,用于若判断结果为是,则利用所述实际设计电路对所述数模混纺环境执行实体替换操作,得到实际数模混纺环境;
触发单元,用于触发所述实际数模混纺环境的仿真运行指令,得到所述待仿真电路系统的仿真结果。
7.根据权利要求6所述的电路系统的仿真装置,其特征在于,所述创建单元具体用于:
分别采集所述电路设计架构中数字电路的接口信息和模拟电路的引脚信息;
分别基于所述接口信息和所述引脚信息,创建出所述数字电路仿真黑盒以及所述模拟电路仿真黑盒。
8.根据权利要求6所述的电路系统的仿真装置,其特征在于,所述生成单元具体用于:
调用所述数字电路仿真黑盒和所述模拟电路仿真黑盒,确定出所述待仿真电路系统的顶层电路原理图;
确定出所述顶层电路原理图进行仿真所需的仿真数据包;其中,所述仿真数据包包括:仿真参数、脚本数据以及激励源数据;
基于所述顶层电路原理图和所述仿真数据包,生成所述待仿真电路系统的数模混纺环境。
9.根据权利要求6所述的电路系统的仿真装置,其特征在于,所述替换单元具体用于:
分别确定出所述实际设计电路中的数字电路和模拟电路;
将所述实际设计电路中的所述数字电路和所述模拟电路,对应替换所述数模混纺环境中的所述数字电路仿真黑盒和所述模拟电路仿真黑盒,得到所述实际数模混纺环境。
10.根据权利要求6所述的电路系统的仿真装置,其特征在于,还包括:
展示单元,用于将所述仿真结果进行展示。
11.一种电子设备,其特征在于,包括:存储器和处理器;
所述存储器用于存储计算机指令;
所述处理器用于在执行所述存储器存储的计算机指令时,具体执行如权利要求1至5中任一项所述的电路系统的仿真方法。
12.一种存储介质,其特征在于,用于存储程序,所述程序被执行时,用于实现如权利要求1至5中任一项所述的电路系统的仿真方法。
CN202111220922.XA 2021-10-20 2021-10-20 一种电路系统的仿真方法、装置、电子设备及存储介质 Pending CN113807041A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202111220922.XA CN113807041A (zh) 2021-10-20 2021-10-20 一种电路系统的仿真方法、装置、电子设备及存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202111220922.XA CN113807041A (zh) 2021-10-20 2021-10-20 一种电路系统的仿真方法、装置、电子设备及存储介质

Publications (1)

Publication Number Publication Date
CN113807041A true CN113807041A (zh) 2021-12-17

Family

ID=78898098

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111220922.XA Pending CN113807041A (zh) 2021-10-20 2021-10-20 一种电路系统的仿真方法、装置、电子设备及存储介质

Country Status (1)

Country Link
CN (1) CN113807041A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114417768A (zh) * 2022-03-29 2022-04-29 南京金阵微电子技术有限公司 一种以太网芯片的数模混合仿真方法及系统
CN115796090A (zh) * 2022-12-13 2023-03-14 无锡沐创集成电路设计有限公司 电路模型生成方法、电路仿真验证方法及对应装置
CN115952760A (zh) * 2023-03-15 2023-04-11 北京芯愿景软件技术股份有限公司 一种数模电路仿真方法、装置、设备及计算机存储介质

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1428725A (zh) * 2001-12-27 2003-07-09 松下电器产业株式会社 电路仿真装置和电路仿真方法
CN1440537A (zh) * 2000-07-05 2003-09-03 史蒂文·J·迈耶 混合信号仿真
CN105808843A (zh) * 2016-03-08 2016-07-27 浪潮集团有限公司 一种混合信号验证平台的构造方法
CN109684755A (zh) * 2018-12-28 2019-04-26 佛山中科芯蔚科技有限公司 一种数模混合芯片异步电路全定制方法及系统
CN112783002A (zh) * 2019-11-01 2021-05-11 中国电力科学研究院有限公司 一种直流配电网数模混合仿真方法和系统

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1440537A (zh) * 2000-07-05 2003-09-03 史蒂文·J·迈耶 混合信号仿真
CN1428725A (zh) * 2001-12-27 2003-07-09 松下电器产业株式会社 电路仿真装置和电路仿真方法
CN105808843A (zh) * 2016-03-08 2016-07-27 浪潮集团有限公司 一种混合信号验证平台的构造方法
CN109684755A (zh) * 2018-12-28 2019-04-26 佛山中科芯蔚科技有限公司 一种数模混合芯片异步电路全定制方法及系统
CN112783002A (zh) * 2019-11-01 2021-05-11 中国电力科学研究院有限公司 一种直流配电网数模混合仿真方法和系统

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114417768A (zh) * 2022-03-29 2022-04-29 南京金阵微电子技术有限公司 一种以太网芯片的数模混合仿真方法及系统
CN114417768B (zh) * 2022-03-29 2022-07-22 南京金阵微电子技术有限公司 一种以太网芯片的数模混合仿真方法及系统
CN115796090A (zh) * 2022-12-13 2023-03-14 无锡沐创集成电路设计有限公司 电路模型生成方法、电路仿真验证方法及对应装置
CN115796090B (zh) * 2022-12-13 2024-01-26 无锡沐创集成电路设计有限公司 电路模型生成方法、电路仿真验证方法及对应装置
CN115952760A (zh) * 2023-03-15 2023-04-11 北京芯愿景软件技术股份有限公司 一种数模电路仿真方法、装置、设备及计算机存储介质
CN115952760B (zh) * 2023-03-15 2023-10-13 北京芯愿景软件技术股份有限公司 一种数模电路仿真方法、装置、设备及计算机存储介质

Similar Documents

Publication Publication Date Title
US7100133B1 (en) Computer system and method to dynamically generate system on a chip description files and verification information
CN113807041A (zh) 一种电路系统的仿真方法、装置、电子设备及存储介质
JP2862886B2 (ja) Asic用計算機支援設計システム
US8051397B2 (en) Method and system for conducting design explorations of an integrated circuit
US9754059B2 (en) Graphical design verification environment generator
US7024636B2 (en) Chip management system
US8234617B2 (en) Method and system for re-using digital assertions in a mixed signal design
US8326592B2 (en) Method and system for verifying electronic designs having software components
CN112949233B (zh) Fpga芯片的自动化开发方法及装置、电子设备
JPH05143674A (ja) 回路図形データベースからの自動論理モデル作成方法
US8230382B2 (en) Model based simulation of electronic discharge and optimization methodology for design checking
US20080216035A1 (en) Method and computer program for configuring an integrated circuit design for static timing analysis
US8265918B1 (en) Simulation and emulation of a circuit design
US9424005B1 (en) Templatized component
CN103853863A (zh) Pdk自动测试界面实现方法
CN113807037A (zh) 一种支持soc设计全流程开发的软件和硬件协同仿真系统
JP6687319B2 (ja) システム検証のための障害挿入
CN111624475A (zh) 大规模集成电路的测试方法及系统
US7086017B1 (en) Method of post-implementation simulation of a HDL design
US20220327269A1 (en) Computing device and method for detecting clock domain crossing violation in design of memory device
JP4881769B2 (ja) 半導体集積回路設計支援装置、半導体集積回路設計支援方法、半導体集積回路設計支援プログラム
CN100527138C (zh) 集成电路元件的模拟实例产生方法与装置
US8504346B1 (en) Method and mechanism for performing mixed-signal simulation of electronic designs having complex digital signal types or models
JP2967174B2 (ja) 設計装置
US11334704B1 (en) System, method, and computer program product for mixed signal verification

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination