CN113673193B - 一种基于寄存器灵活时序库的电路时序优化方法 - Google Patents

一种基于寄存器灵活时序库的电路时序优化方法 Download PDF

Info

Publication number
CN113673193B
CN113673193B CN202110906714.9A CN202110906714A CN113673193B CN 113673193 B CN113673193 B CN 113673193B CN 202110906714 A CN202110906714 A CN 202110906714A CN 113673193 B CN113673193 B CN 113673193B
Authority
CN
China
Prior art keywords
register
time
clock signal
setup
hold
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110906714.9A
Other languages
English (en)
Other versions
CN113673193A (zh
Inventor
曹鹏
王家豪
姜海洋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Southeast University-Wuxi Institute Of Integrated Circuit Technology
Southeast University
Original Assignee
Southeast University-Wuxi Institute Of Integrated Circuit Technology
Southeast University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Southeast University-Wuxi Institute Of Integrated Circuit Technology, Southeast University filed Critical Southeast University-Wuxi Institute Of Integrated Circuit Technology
Priority to CN202110906714.9A priority Critical patent/CN113673193B/zh
Publication of CN113673193A publication Critical patent/CN113673193A/zh
Priority to US18/011,443 priority patent/US11829693B2/en
Priority to PCT/CN2022/079945 priority patent/WO2023015878A1/zh
Application granted granted Critical
Publication of CN113673193B publication Critical patent/CN113673193B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/337Design optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3315Design verification, e.g. functional simulation or model checking using static timing analysis [STA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Abstract

本发明公开了一种基于寄存器灵活时序库的电路时序优化方法,首先通过在多组输入信号转换时间、时钟信号转换时间和寄存器负载电容情况下分别对寄存器仿真,通过改变寄存器的建立松弛和保持松弛,获得此时对应的实际传播延时,并通过线性插值获得特定的输入信号转换时间、时钟信号转换时间、寄存器负载电容、建立松弛和保持松弛下寄存器实际传播延时,从而建立寄存器灵活时序库;然后利用该库对电路中的所有寄存器路径进行静态时序分析,通过改变寄存器的建立松弛和保持松弛,找到满足建立时间余量和保持时间余量均大于零条件的最小时钟周期,从而在不改变电路设计、不增加电路面积开销的情况下提高电路性能。

Description

一种基于寄存器灵活时序库的电路时序优化方法
技术领域
本发明涉及一种数字集成电路时序优化方法,属于EDA技术领域。
背景技术
静态时序分析是数字集成电路电路中验证电路时序约束是否满足的重要步骤。当采用传统的寄存器时序库进行静态时序分析时,寄存器的传播延时被认为与建立时间(时钟信号跳变前输入数据需保持稳定的最短时间)和保持时间(时钟信号跳变后输入数据需保持稳定的最短时间)无关,三者由寄存器的输入信号转换时间、时钟信号转换时间和寄存器负载电容唯一决定。然而,实际情况下对于特定的输入信号转换时间、时钟信号转换时间和寄存器负载电容,寄存器的传播延时与建立松弛(时钟信号跳变前输入数据实际保持稳定的时间)和保持松弛(时钟信号跳变后输入数据实际保持稳定的时间)有关。考虑三者相关性建立寄存器时序库被称为寄存器灵活时序库,在该库中,当建立松弛和保持松弛改变时,寄存器实际传播延时随之发生变化。
当采用传统寄存器时序库对数字集成电路进行静态时序分析时,即认为寄存器的传播延时与建立时间和保持时间无关时,由传统的寄存器时序库中的建立时间、保持时间和传播延时决定了电路中所有寄存器路径是否满足建立时间检查和保持时间检查。在满足所有寄存器路径的建立时间检查条件下,确定电路时序正确所需的最小时钟周期。如果该最小时钟周期(对应最高工作频率)不满足设计要求,则需对电路进行优化,付出额外的设计迭代时间及电路面积开销。
发明内容
发明目的:针对上述现有技术,提出一种基于寄存器灵活时序库的电路时序优化方法,采用更小的时钟周期满足建立时间和保持时间检查,在不改变电路设计、不增加电路面积开销的情况下提高电路性能。
技术方案:一种基于寄存器灵活时序库的电路时序优化方法,所述寄存器的建立时间Tsetup、保持时间Thold和传播延时Tcq分别指的是寄存器传统时序库中在特定的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL组合下时钟信号跳变前输入数据需保持稳定的最短时间、时钟信号跳变后输入数据需保持稳定的最短时间以及从时钟信号跳变到输出数据的时间间隔;
所述寄存器的建立松弛
Figure BDA0003201881690000021
保持松弛
Figure BDA0003201881690000022
和实际传播延时
Figure BDA0003201881690000023
分别指的是在特定的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL组合下时钟信号跳变前输入数据实际保持稳定的时间、时钟信号跳变后输入数据实际保持稳定的时间以及在特定建立松弛
Figure BDA0003201881690000024
和保持松弛
Figure BDA0003201881690000025
情况下,从时钟信号跳变到输出数据的时间间隔;
所述寄存器灵活时序库指的是在特定的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL组合下,对应的多种不同的建立松弛
Figure BDA0003201881690000026
保持松弛
Figure BDA0003201881690000027
和实际传播延时
Figure BDA0003201881690000028
组合;
所述寄存器路径指的是电路中以寄存器为起点和终点的数据路径,其中起点寄存器记为FFi,终点寄存器记为FFj,i和j分别是起点寄存器和终点寄存器的编号,1≤i,j≤NFF,NFF是电路中寄存器的个数;
对于起点寄存器FFi和终点寄存器FFj间的寄存器路径,基于寄存器传统时序库的建立时间余量
Figure BDA0003201881690000029
和保持时间余量
Figure BDA00032018816900000210
分别如下(1)和所示:
Figure BDA00032018816900000211
Figure BDA00032018816900000212
其中,T表示时钟周期,
Figure BDA00032018816900000213
Figure BDA00032018816900000214
分别表示时钟信号到达起点寄存器FFi和终点寄存器FFj的时间,
Figure BDA00032018816900000215
Figure BDA00032018816900000216
分别表示起点寄存器FFi和终点寄存器FFj之间所有寄存器路径中数据路径的最大延时和最小延时,
Figure BDA00032018816900000217
Figure BDA00032018816900000218
分别表示终点寄存器FFj的建立时间和保持时间,
Figure BDA00032018816900000219
表示起点寄存器FFi的传播延时;
对于起点寄存器FFi和终点寄存器FFj间的寄存器路径,基于寄存器灵活时序库的建立时间余量
Figure BDA00032018816900000220
和保持时间余量
Figure BDA00032018816900000221
分别如下(3)和所示:
Figure BDA00032018816900000222
Figure BDA00032018816900000223
其中,
Figure BDA00032018816900000224
Figure BDA00032018816900000225
分别表示终点寄存器FFj的建立松弛和保持松弛,
Figure BDA00032018816900000226
表示起点寄存器FFi的实际传播延时,随该寄存器的建立松弛和保持松弛变化;
所述方法包括:
S1:对于电路中的所有寄存器,确定其输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL的范围,在该范围内选择多组输入信号转换时间、时钟信号转换时间和寄存器负载电容组合,仿真获得所有有效的建立松弛和保持松弛对,及对应的实际传播延时;
S2:对于电路中所有寄存器路径,根据每个起点寄存器和终点寄存器的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL,改变起点寄存器和终点寄存器的建立松弛和保持松弛,在满足每条寄存器路径基于寄存器灵活时序库的建立时间余量和保持时间余量都大于零的情况下,使得时钟周期最小。
有益效果:本发明的一种基于寄存器灵活时序库的电路时序优化方法,首先本发明为表征寄存器建立松弛、保持松弛和实际传播延时之间的相关性,在改变寄存器建立松弛和保持松弛仿真获得对应的实际传播延时的基础上,通过线性插值的方法获得不同建立松弛和保持松弛时的实际传播延时,和传统寄存器时序库相比,通过表征三者之间的相关性能够更全面反映寄存器时序特征,为时序优化提供依据。其次,本发明利用建立松弛、保持松弛和实际传播延时之间的相关性,对电路中的寄存器路径进行静态时序分析,与基于传统寄存器时序库的静态时序分析方法相比,本发明能够充分利用相邻寄存器路径的建立时间余量和保持时间余量,在满足所有寄存器路径的建立时间余量和保持时间余量都大于零的前提下,将时钟周期降低至最小,从而提高电路性能。
附图说明
图1为寄存器建立时间、保持时间、建立松弛、保持松弛、传播延时示意图;
图2为寄存器建立松弛(setup slack)、保持松弛(hold slack)和实际传播延时(clock-to-q delay)之间的相关性示意图;
图3为相邻寄存器路径示意图。
具体实施方式
下面结合附图对本发明做更进一步的解释。
一种基于寄存器灵活时序库的电路时序优化方法,其中,寄存器的建立时间Tsetup、保持时间Thold和传播延时Tcq分别指的是寄存器传统时序库中在特定的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL组合下时钟信号跳变前输入数据需保持稳定的最短时间、时钟信号跳变后输入数据需保持稳定的最短时间以及从时钟信号跳变到输出数据的时间间隔。
寄存器的建立松弛
Figure BDA0003201881690000041
保持松弛
Figure BDA0003201881690000042
和实际传播延时
Figure BDA0003201881690000043
分别指的是在特定的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL组合下时钟信号跳变前输入数据实际保持稳定的时间、时钟信号跳变后输入数据实际保持稳定的时间以及在特定建立松弛
Figure BDA0003201881690000044
和保持松弛
Figure BDA0003201881690000045
情况下,从时钟信号跳变到输出数据的时间间隔。
寄存器灵活时序库指的是在特定的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL组合下,对应的多种不同的建立松弛
Figure BDA0003201881690000046
保持松弛
Figure BDA0003201881690000047
和实际传播延时
Figure BDA0003201881690000048
组合。
寄存器路径指的是电路中以寄存器为起点和终点的数据路径,其中起点寄存器记为FFi,终点寄存器记为FFj,i和j分别是起点寄存器和终点寄存器的编号,1≤i,j≤NFF,NFF是电路中寄存器的个数。
对于起点寄存器FFi和终点寄存器FFj间的寄存器路径,基于寄存器传统时序库的建立时间余量
Figure BDA0003201881690000049
和保持时间余量
Figure BDA00032018816900000410
分别如下(1)和所示:
Figure BDA00032018816900000411
Figure BDA00032018816900000412
其中,T表示时钟周期,
Figure BDA00032018816900000413
Figure BDA00032018816900000414
分别表示时钟信号到达起点寄存器FFi和终点寄存器FFj的时间,
Figure BDA00032018816900000415
Figure BDA00032018816900000416
分别表示起点寄存器FFi和终点寄存器FFj之间所有寄存器路径中数据路径的最大延时和最小延时,
Figure BDA00032018816900000417
Figure BDA00032018816900000418
分别表示终点寄存器FFj的建立时间和保持时间,
Figure BDA00032018816900000419
表示起点寄存器FFi的传播延时。
对于起点寄存器FFi和终点寄存器FFj间的寄存器路径,基于寄存器灵活时序库的建立时间余量
Figure BDA00032018816900000420
和保持时间余量
Figure BDA00032018816900000421
分别如下(3)和所示:
Figure BDA00032018816900000422
Figure BDA00032018816900000423
其中,
Figure BDA0003201881690000051
Figure BDA0003201881690000052
分别表示终点寄存器FFj的建立松弛和保持松弛,
Figure BDA0003201881690000053
表示起点寄存器FFi的实际传播延时,随该寄存器的建立松弛和保持松弛变化;
基于寄存器灵活时序库的电路时序优化方法包括:
S1:对于电路中的所有寄存器,确定其输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL的范围,在该范围内选择多组输入信号转换时间、时钟信号转换时间和寄存器负载电容组合,仿真获得所有有效的建立松弛和保持松弛对,及对应的实际传播延时。
S2:对于电路中所有寄存器路径,根据每个起点寄存器和终点寄存器的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL,改变起点寄存器和终点寄存器的建立松弛和保持松弛,在满足每条寄存器路径基于寄存器灵活时序库的建立时间余量和保持时间余量都大于零的情况下,使得时钟周期最小。
步骤S1中,对于寄存器传统时序库中每种输入信号转换时间、时钟信号转换时间和寄存器负载电容组合,确定建立松弛
Figure BDA0003201881690000054
与保持松弛
Figure BDA0003201881690000055
的有效范围为
Figure BDA0003201881690000056
具体方法为:首先将建立松弛
Figure BDA0003201881690000057
与保持松弛
Figure BDA0003201881690000058
取足够大值进行仿真获得对应的实际传播延时
Figure BDA0003201881690000059
足够大值指的是当继续增加
Figure BDA00032018816900000510
或增加
Figure BDA00032018816900000511
Figure BDA00032018816900000512
不再减小;然后保持
Figure BDA00032018816900000513
不变减小
Figure BDA00032018816900000514
仿真,直至
Figure BDA00032018816900000515
开始增加,此时对应的
Figure BDA00032018816900000516
Figure BDA00032018816900000517
保持
Figure BDA00032018816900000518
不变减小
Figure BDA00032018816900000519
仿真,直至
Figure BDA00032018816900000520
开始增加,此时对应的
Figure BDA00032018816900000521
Figure BDA00032018816900000522
然后保持
Figure BDA00032018816900000523
不变继续减小
Figure BDA00032018816900000524
仿真,
Figure BDA00032018816900000525
继续增加直至仿真失败,即寄存器时钟信号跳变时无法得到输出数据,此时对应的
Figure BDA00032018816900000526
Figure BDA00032018816900000527
保持
Figure BDA00032018816900000528
不变继续减小
Figure BDA00032018816900000529
仿真,
Figure BDA00032018816900000530
继续增加直至仿真失败,此时对应的
Figure BDA00032018816900000531
Figure BDA00032018816900000532
步骤S1中,对于寄存器传统时序库中每种输入信号转换时间、时钟信号转换时间和寄存器负载电容组合,在
Figure BDA00032018816900000533
Figure BDA00032018816900000534
的有效范围进行仿真获得所有有效的
Figure BDA00032018816900000535
Figure BDA00032018816900000536
组合下对应的
Figure BDA00032018816900000537
具体方法为:首先以Tstep为间隔在
Figure BDA00032018816900000538
Figure BDA00032018816900000539
的有效范围中选择仿真点,其中
Figure BDA00032018816900000540
的仿真点有Nsetup个,分别为
Figure BDA00032018816900000541
其中0≤nsetup≤Nsetup-1,nsetup
Figure BDA0003201881690000061
的第n个仿真点,Nsetup是满足
Figure BDA0003201881690000062
的最大正整数,
Figure BDA0003201881690000063
的仿真点有Nhold个,分别为
Figure BDA0003201881690000064
其中0≤nhold≤Nhold-1,nhold
Figure BDA0003201881690000065
的第n个仿真点,Nhold是满足
Figure BDA0003201881690000066
的最大正整数;然后对于Nsetup
Figure BDA0003201881690000067
仿真点和Nhold
Figure BDA0003201881690000068
仿真点两两组合,在所有组合下进行仿真获得对应的
Figure BDA0003201881690000069
步骤S2中,对于电路中所有寄存器路径,采用寄存器传统时序库进行时序分析,获得电路的时钟周期T,对于起点寄存器FFi和终点寄存器FFj间的寄存器路径,获得建立时间余量
Figure BDA00032018816900000610
和保持时间余量
Figure BDA00032018816900000611
数据路径的最大延时
Figure BDA00032018816900000612
和最小延时
Figure BDA00032018816900000613
终点寄存器FFj的建立时间
Figure BDA00032018816900000614
和保持时间
Figure BDA00032018816900000615
起点寄存器FFi的传播延时
Figure BDA00032018816900000616
以及起点寄存器FFi的输入信号转换时间
Figure BDA00032018816900000617
时钟信号转换时间
Figure BDA00032018816900000618
和负载电容
Figure BDA00032018816900000619
终点寄存器j的输入信号转换时间
Figure BDA00032018816900000620
时钟信号转换时间
Figure BDA00032018816900000621
和负载电容
Figure BDA00032018816900000622
根据寄存器传统时序库中所有输入信号转换时间、时钟信号转换时间和寄存器负载电容及步骤S1中所有仿真所得的有效的建立松弛、保持松弛及对应的实际传播延时进行插值计算,获得起点寄存器FFi的建立松弛和保持松弛分别为
Figure BDA00032018816900000623
Figure BDA00032018816900000624
时,对应的实际传播延时
Figure BDA00032018816900000625
具体过程为:
首先,选取寄存器传统时序中最接近
Figure BDA00032018816900000626
且小于
Figure BDA00032018816900000627
的输入信号转换时间Sdata,最接近
Figure BDA00032018816900000628
且小于
Figure BDA00032018816900000629
的时钟信号转换时间Sck,最接近
Figure BDA00032018816900000630
且小于
Figure BDA00032018816900000631
的负载电容CL;选取寄存器传统时序中最接近
Figure BDA00032018816900000632
且大于
Figure BDA00032018816900000633
的输入信号转换时间
Figure BDA00032018816900000634
最接近
Figure BDA00032018816900000635
且大于
Figure BDA00032018816900000636
的时钟信号转换时间
Figure BDA00032018816900000637
最接近
Figure BDA00032018816900000638
且大于
Figure BDA00032018816900000639
的负载电容
Figure BDA00032018816900000640
然后,当寄存器FFi的建立松弛和保持松弛分别为
Figure BDA00032018816900000641
Figure BDA00032018816900000642
时,选取Nsetup
Figure BDA00032018816900000643
仿真点中最接近
Figure BDA00032018816900000644
且小于
Figure BDA00032018816900000645
的建立松弛
Figure BDA00032018816900000646
选取Nhold
Figure BDA00032018816900000647
仿真点中最接近
Figure BDA00032018816900000648
且小于
Figure BDA00032018816900000649
的建立松弛
Figure BDA00032018816900000650
选取Nsetup
Figure BDA00032018816900000651
仿真点中最接近
Figure BDA00032018816900000652
且大于
Figure BDA00032018816900000653
的建立松弛
Figure BDA00032018816900000654
选取Nhold
Figure BDA00032018816900000655
仿真点中最接近
Figure BDA00032018816900000656
且大于
Figure BDA00032018816900000657
的建立松弛
Figure BDA00032018816900000658
最后,根据输入信号转换时间分别为Sdata
Figure BDA0003201881690000071
时钟信号转换时间分别为Sck
Figure BDA0003201881690000072
寄存器负载电容分别为CL
Figure BDA0003201881690000073
建立松弛分别为
Figure BDA0003201881690000074
Figure BDA0003201881690000075
保持松弛分别为
Figure BDA0003201881690000076
Figure BDA0003201881690000077
时共32种情况下的寄存器实际传播延时,通过线性插值方式得到输入信号转换时间是
Figure BDA0003201881690000078
时钟信号转换时间是
Figure BDA0003201881690000079
寄存器负载电容是
Figure BDA00032018816900000710
建立松弛是
Figure BDA00032018816900000711
保持松弛是
Figure BDA00032018816900000712
时对应的寄存器FFi的实际传播延时
Figure BDA00032018816900000713
线性插值方式具体为:
第一步:将32种组合中的每一个组合以及目标组合都视为一个空间坐标,则任意两个空间坐标最多存在4个相同的坐标值,将存在这种情况的两坐标相对应的寄存器传播延时记为
Figure BDA00032018816900000714
Figure BDA00032018816900000715
并在坐标值不同的维度上对每一对进行一维线性插值,不同的维度上分别记为
Figure BDA00032018816900000716
并用x1表示目标组合在该维度上的坐标值,得到新的插值坐标以及该插值坐标对应的寄存器延时
Figure BDA00032018816900000717
1≤i≤16,公式如下:
Figure BDA00032018816900000718
第二步:第一步得到的16个空间坐标,任意两个空间坐标仍最多存在四个维度的值相同,且满足这种条件的两坐标共同的一维就是目标组合的值,将两坐标中坐标值不同的维度记为
Figure BDA00032018816900000719
Figure BDA00032018816900000720
用x2表示目标组合在该维度上的坐标值,并做一维线性插值得到新的空间坐标以及对应的寄存器传播延时
Figure BDA00032018816900000721
1≤j≤8,公式如下:
Figure BDA00032018816900000722
第三步:第二步得到8个空间坐标,任意两个空间坐标仍最多存在四个维度的值相同,且满足这种条件的两坐标共同的两维为目标组合的值,将两坐标中坐标值不同的维度记为
Figure BDA00032018816900000723
Figure BDA00032018816900000724
用x3表示目标组合在该维度上的坐标值,并做一维线性插值得到新的空间坐标以及对应的寄存器传播延时
Figure BDA00032018816900000725
1≤m≤4,公式如下:
Figure BDA00032018816900000726
第四步:由第二步得到4个空间坐标,任意两个空间坐标仍最多存在四个维度的值相同,且满足这种条件的两坐标共同的三个维度为目标组合的值,将两坐标中坐标值不同的维度记为
Figure BDA0003201881690000081
Figure BDA0003201881690000082
用x4表示目标组合在该维度上的坐标值,并做一维线性插值得到新的空间坐标以及对应的寄存器传播延时
Figure BDA0003201881690000083
1≤n≤2,公式如下:
Figure BDA0003201881690000084
第五步:由第四步得到两个坐标,两坐标只有一个维度的值不同,其余四个维度的值与目标组合的值相同,将两坐标中坐标值不同的维度记为
Figure BDA0003201881690000085
Figure BDA0003201881690000086
用x5表示目标组合在该维度上的坐标值,在坐标值不同的维度上进行插值,得到插值目标
Figure BDA0003201881690000087
Figure BDA0003201881690000088
步骤S2中,对于电路中所有寄存器路径,通过改变终点寄存器FFj的建立松弛
Figure BDA0003201881690000089
和保持松弛
Figure BDA00032018816900000810
同时改变起点寄存器FFi的建立松弛
Figure BDA00032018816900000811
和保持松弛
Figure BDA00032018816900000812
从而通过插值计算得到对应的实际传播延时
Figure BDA00032018816900000813
使得在满足每条寄存器路径的基于寄存器灵活时序库的建立时间余量
Figure BDA00032018816900000814
和保持时间余量
Figure BDA00032018816900000815
都大于零的情况下,能够改变时钟周期使得其最小,即在满足(10)和(11)的情况下,取得时钟周期T的最小值,其中起点寄存器i和终点寄存器j的建立松弛和保持松弛改变范围满足(12)和(13)。
Figure BDA00032018816900000816
Figure BDA00032018816900000817
Figure BDA00032018816900000818
Figure BDA00032018816900000819
本实施例中,将数据信号转换时间设置在50ps至800ps,以50ps为步长在该范围均匀取值,共有16个取值点;将时钟信号转换时间设置在100ps至500ps,同样以50ps为步长在该范围均匀取值,共有5个取值点;将寄存器负载电容设置在1fF至5fF,以1fF为步长在该范围内均匀取值,共有5个取值点。将以上取值任意组合可得到400种组合。
对每一种组合,将寄存器的建立松弛设为3ns,保持松弛从3ns逐渐减小至100ps,记录寄存器传播延时刚开始增大的保持松弛以及仿真失败时的保持松弛,即为保持松弛的范围,为200ps至1000ps;将寄存器的保持松弛设为3ns,建立松弛从3ns逐渐减小至100ps,记录寄存器传播延时刚开始增大的建立松弛以及仿真失败时的建立松弛,即为建立松弛的范围,为200ps至1000ps。以20ps为步长对建立松弛与保持松弛取值,共1296中组合,仿真得到所有组合的寄存器传播延时。
要得到数据信号转换时间为153ps,时钟信号转换时间为247ps,寄存器负载电容为2.1fF,建立松弛为284ps,保持松弛为384ps的寄存器传播延时,首先在上述组合中选取数据信号转换时间为150ps,时钟信号转换时间为200ps,寄存器负载电容为2fF,建立松弛与保持松弛分别为280ps与380ps的组合以及数据信号转换时间为200ps,时钟信号转换时间为250ps,寄存器负载电容为3fF,建立松弛与保持松弛分别为300ps与400ps的组合,以这些数据为插值点通过线性插值得到目标组合的寄存器传播延时。
以图3中三个寄存器连接路径作为说明,时钟信号的周期为5ns,其中寄存器DFF1至寄存器DFF2的路径不存在建立时间违规,该路径建立时间余量为0.785ns,寄存器DFF2至寄存器DFF3的路径存在建立时间违规,建立时间余量为-0.917ns。其中DFF1寄存器的建立时间
Figure BDA0003201881690000091
为422ps,保持时间
Figure BDA0003201881690000092
为300ps,输入信号转换时间
Figure BDA0003201881690000093
为307ps,时钟信号转换时间
Figure BDA0003201881690000094
为181ps,寄存器负载电容
Figure BDA0003201881690000095
为2Ff,对应的寄存器传播延时
Figure BDA0003201881690000096
为2.066ns;DFF2寄存器的建立时间
Figure BDA0003201881690000097
为457ps,保持时间
Figure BDA0003201881690000098
为213ps,输入信号转换时间
Figure BDA0003201881690000099
为160ps,时钟信号转换时间
Figure BDA00032018816900000910
为197ps,寄存器负载电容
Figure BDA00032018816900000911
为2fF,对应的寄存器传播延时
Figure BDA00032018816900000912
为1.818ns;DFF3寄存器的建立时间
Figure BDA00032018816900000913
为388ps,保持时间
Figure BDA00032018816900000914
为100ps,输入信号转换时间
Figure BDA00032018816900000915
为267ps,时钟信号转换时间
Figure BDA00032018816900000916
为224ps,寄存器负载电容
Figure BDA00032018816900000917
为2fF,对应的寄存器传播延时
Figure BDA00032018816900000918
为2.038ns;分别保持三个寄存器的输入信号转换时间,时钟信号转换时间,寄存器负载电容不变,取DFF1的建立松弛
Figure BDA00032018816900000919
与保持松弛
Figure BDA00032018816900000920
为930ps与500ps,通过寄存器灵活时序库插值得到该取值下对应的寄存器实际传播延时
Figure BDA0003201881690000101
为2.065ns,取DFF2的建立松弛
Figure BDA0003201881690000102
与保持松弛
Figure BDA0003201881690000103
为950ps与950ps,通过寄存器灵活时序库插值得到该取值下对应的寄存器实际传播延时
Figure BDA0003201881690000104
为1.533ns,取DFF3的建立松弛
Figure BDA0003201881690000105
与保持松弛
Figure BDA0003201881690000106
为300ps与540ps,通过寄存器灵活时序库插值得到该取值下对应的寄存器实际传播延时
Figure BDA0003201881690000107
为3.082ns;上述取值使得寄存器DFF1至寄存器DFF2路径以及寄存器DFF2至寄存器DFF3路径的建立时间余量分别变为893ps与56ps,从而消除了时序违规,此时可得时钟周期最小值Tmin为5.6ns。与基于寄存器传统时序库相比,消除时序违规所需时钟周期最小值为5.917ns,降低了5.4%。
以上所述仅是本发明的优选实施方式,应当指出,对于本技术领域的普通技术人员来说,在不脱离本发明原理的前提下,还可以做出若干改进和润饰,这些改进和润饰也应视为本发明的保护范围。

Claims (6)

1.一种基于寄存器灵活时序库的电路时序优化方法,其特征在于,所述寄存器的建立时间Tsetup、保持时间Thold和传播延时Tcq分别指的是寄存器传统时序库中在特定的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL组合下时钟信号跳变前输入数据需保持稳定的最短时间、时钟信号跳变后输入数据需保持稳定的最短时间以及从时钟信号跳变到输出数据的时间间隔;
所述寄存器的建立松弛
Figure FDA0003201881680000011
保持松弛
Figure FDA0003201881680000012
和实际传播延时
Figure FDA0003201881680000013
分别指的是在特定的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL组合下时钟信号跳变前输入数据实际保持稳定的时间、时钟信号跳变后输入数据实际保持稳定的时间以及在特定建立松弛
Figure FDA0003201881680000014
和保持松弛
Figure FDA0003201881680000015
情况下,从时钟信号跳变到输出数据的时间间隔;
所述寄存器灵活时序库指的是在特定的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL组合下,对应的多种不同的建立松弛
Figure FDA0003201881680000016
保持松弛
Figure FDA0003201881680000017
和实际传播延时
Figure FDA0003201881680000018
组合;
所述寄存器路径指的是电路中以寄存器为起点和终点的数据路径,其中起点寄存器记为FFi,终点寄存器记为FFj,i和j分别是起点寄存器和终点寄存器的编号,1≤i,j≤NFF,NFF是电路中寄存器的个数;
对于起点寄存器FFi和终点寄存器FFj间的寄存器路径,基于寄存器传统时序库的建立时间余量
Figure FDA0003201881680000019
和保持时间余量
Figure FDA00032018816800000110
分别如下(1)和所示:
Figure FDA00032018816800000111
Figure FDA00032018816800000112
其中,T表示时钟周期,
Figure FDA00032018816800000113
Figure FDA00032018816800000114
分别表示时钟信号到达起点寄存器FFi和终点寄存器FFj的时间,
Figure FDA00032018816800000115
Figure FDA00032018816800000116
分别表示起点寄存器FFi和终点寄存器FFj之间所有寄存器路径中数据路径的最大延时和最小延时,
Figure FDA00032018816800000117
Figure FDA00032018816800000118
分别表示终点寄存器FFj的建立时间和保持时间,
Figure FDA00032018816800000119
表示起点寄存器FFi的传播延时;
对于起点寄存器FFi和终点寄存器FFj间的寄存器路径,基于寄存器灵活时序库的建立时间余量
Figure FDA00032018816800000120
和保持时间余量
Figure FDA00032018816800000121
分别如下(3)和所示:
Figure FDA0003201881680000021
Figure FDA0003201881680000022
其中,
Figure FDA0003201881680000023
Figure FDA0003201881680000024
分别表示终点寄存器FFj的建立松弛和保持松弛,
Figure FDA0003201881680000025
表示起点寄存器FFi的实际传播延时,随该寄存器的建立松弛和保持松弛变化;
所述方法包括:
S1:对于电路中的所有寄存器,确定其输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL的范围,在该范围内选择多组输入信号转换时间、时钟信号转换时间和寄存器负载电容组合,仿真获得所有有效的建立松弛和保持松弛对,及对应的实际传播延时;
S2:对于电路中所有寄存器路径,根据每个起点寄存器和终点寄存器的输入信号转换时间Sdata、时钟信号转换时间Sck和寄存器负载电容CL,改变起点寄存器和终点寄存器的建立松弛和保持松弛,在满足每条寄存器路径基于寄存器灵活时序库的建立时间余量和保持时间余量都大于零的情况下,使得时钟周期最小。
2.根据权利要求1所述的基于寄存器灵活时序库的电路时序优化方法,其特征在于,所述步骤S1中,对于寄存器传统时序库中每种输入信号转换时间、时钟信号转换时间和寄存器负载电容组合,确定建立松弛
Figure FDA0003201881680000026
与保持松弛
Figure FDA0003201881680000027
的有效范围为
Figure FDA0003201881680000028
具体方法为:首先将建立松弛
Figure FDA0003201881680000029
与保持松弛
Figure FDA00032018816800000210
取足够大值进行仿真获得对应的实际传播延时
Figure FDA00032018816800000211
所述足够大值指的是当继续增加
Figure FDA00032018816800000212
或增加
Figure FDA00032018816800000213
Figure FDA00032018816800000214
不再减小;然后保持
Figure FDA00032018816800000215
不变减小
Figure FDA00032018816800000216
仿真,直至
Figure FDA00032018816800000217
开始增加,此时对应的
Figure FDA00032018816800000218
Figure FDA00032018816800000219
保持
Figure FDA00032018816800000220
不变减小
Figure FDA00032018816800000221
仿真,直至
Figure FDA00032018816800000222
开始增加,此时对应的
Figure FDA00032018816800000223
Figure FDA00032018816800000224
然后保持
Figure FDA00032018816800000225
不变继续减小
Figure FDA00032018816800000226
仿真,
Figure FDA00032018816800000227
继续增加直至仿真失败,即寄存器时钟信号跳变时无法得到输出数据,此时对应的
Figure FDA00032018816800000228
Figure FDA00032018816800000229
保持
Figure FDA00032018816800000230
不变继续减小
Figure FDA00032018816800000231
仿真,
Figure FDA00032018816800000232
继续增加直至仿真失败,此时对应的
Figure FDA00032018816800000233
Figure FDA00032018816800000234
3.根据权利要求2所述的基于寄存器灵活时序库的电路时序优化方法,其特征在于,所述步骤S1中,对于寄存器传统时序库中每种输入信号转换时间、时钟信号转换时间和寄存器负载电容组合,在
Figure FDA0003201881680000031
Figure FDA0003201881680000032
的有效范围进行仿真获得所有有效的
Figure FDA0003201881680000033
Figure FDA0003201881680000034
组合下对应的
Figure FDA0003201881680000035
具体方法为:首先以Tstep为间隔在
Figure FDA0003201881680000036
Figure FDA0003201881680000037
的有效范围中选择仿真点,其中
Figure FDA0003201881680000038
的仿真点有Nsetup个,分别为
Figure FDA0003201881680000039
其中0≤nsetup≤Nsetup-1,nsetup
Figure FDA00032018816800000310
的第n个仿真点,Nsetup是满足
Figure FDA00032018816800000311
的最大正整数,
Figure FDA00032018816800000312
的仿真点有Nhold个,分别为
Figure FDA00032018816800000313
其中0≤nhold≤Nhold-1,nhold
Figure FDA00032018816800000314
的第n个仿真点,Nhold是满足
Figure FDA00032018816800000315
的最大正整数;然后对于Nsetup
Figure FDA00032018816800000316
仿真点和Nhold
Figure FDA00032018816800000317
仿真点两两组合,在所有组合下进行仿真获得对应的
Figure FDA00032018816800000318
4.根据权利要求1所述的基于寄存器灵活时序库的电路时序优化方法,其特征在于,所述步骤S2中,对于电路中所有寄存器路径,采用寄存器传统时序库进行时序分析,获得电路的时钟周期T,对于起点寄存器FFi和终点寄存器FFj间的寄存器路径,获得建立时间余量
Figure FDA00032018816800000319
和保持时间余量
Figure FDA00032018816800000320
数据路径的最大延时
Figure FDA00032018816800000321
和最小延时
Figure FDA00032018816800000322
终点寄存器FFj的建立时间
Figure FDA00032018816800000323
和保持时间
Figure FDA00032018816800000324
起点寄存器FFi的传播延时
Figure FDA00032018816800000325
以及起点寄存器FFi的输入信号转换时间
Figure FDA00032018816800000326
时钟信号转换时间
Figure FDA00032018816800000327
和负载电容
Figure FDA00032018816800000328
终点寄存器j的输入信号转换时间
Figure FDA00032018816800000329
时钟信号转换时间
Figure FDA00032018816800000330
和负载电容
Figure FDA00032018816800000331
根据寄存器传统时序库中所有输入信号转换时间、时钟信号转换时间和寄存器负载电容及步骤S1中所有仿真所得的有效的建立松弛、保持松弛及对应的实际传播延时进行插值计算,获得起点寄存器FFi的建立松弛和保持松弛分别为
Figure FDA00032018816800000332
Figure FDA00032018816800000333
时,对应的实际传播延时
Figure FDA00032018816800000334
具体过程为:
首先,选取寄存器传统时序中最接近
Figure FDA00032018816800000335
且小于
Figure FDA00032018816800000336
的输入信号转换时间Sdata,最接近
Figure FDA00032018816800000337
且小于
Figure FDA00032018816800000338
的时钟信号转换时间Sck,最接近
Figure FDA00032018816800000339
且小于
Figure FDA00032018816800000340
的负载电容CL;选取寄存器传统时序中最接近
Figure FDA00032018816800000341
且大于
Figure FDA00032018816800000342
的输入信号转换时间
Figure FDA00032018816800000343
最接近
Figure FDA00032018816800000344
且大于
Figure FDA00032018816800000345
的时钟信号转换时间
Figure FDA00032018816800000346
最接近
Figure FDA00032018816800000347
且大于
Figure FDA00032018816800000348
的负载电容
Figure FDA00032018816800000349
然后,当寄存器FFi的建立松弛和保持松弛分别为
Figure FDA0003201881680000041
Figure FDA0003201881680000042
时,选取Nsetup
Figure FDA0003201881680000043
仿真点中最接近
Figure FDA0003201881680000044
且小于
Figure FDA0003201881680000045
的建立松弛
Figure FDA0003201881680000046
选取Nhold
Figure FDA0003201881680000047
仿真点中最接近
Figure FDA0003201881680000048
且小于
Figure FDA0003201881680000049
的建立松弛
Figure FDA00032018816800000410
选取Nsetup
Figure FDA00032018816800000411
仿真点中最接近
Figure FDA00032018816800000412
且大于
Figure FDA00032018816800000413
的建立松弛
Figure FDA00032018816800000414
选取Nhold
Figure FDA00032018816800000415
仿真点中最接近
Figure FDA00032018816800000416
且大于
Figure FDA00032018816800000417
的建立松弛
Figure FDA00032018816800000418
最后,根据输入信号转换时间分别为Sdata
Figure FDA00032018816800000419
时钟信号转换时间分别为Sck
Figure FDA00032018816800000420
寄存器负载电容分别为CL
Figure FDA00032018816800000421
建立松弛分别为
Figure FDA00032018816800000422
Figure FDA00032018816800000423
保持松弛分别为
Figure FDA00032018816800000424
Figure FDA00032018816800000425
时共32种情况下的寄存器实际传播延时,通过线性插值方式得到输入信号转换时间是
Figure FDA00032018816800000426
时钟信号转换时间是
Figure FDA00032018816800000427
寄存器负载电容是
Figure FDA00032018816800000428
建立松弛是
Figure FDA00032018816800000429
保持松弛是
Figure FDA00032018816800000430
时对应的寄存器FFi的实际传播延时
Figure FDA00032018816800000431
5.根据权利要求4所述的基于寄存器灵活时序库的电路时序优化方法,其特征在于,所述步骤S2中,对于电路中所有寄存器路径,通过改变终点寄存器FFj的建立松弛
Figure FDA00032018816800000432
和保持松弛
Figure FDA00032018816800000433
同时改变起点寄存器FFi的建立松弛
Figure FDA00032018816800000434
和保持松弛
Figure FDA00032018816800000435
从而通过插值计算得到对应的实际传播延时
Figure FDA00032018816800000436
使得在满足每条寄存器路径的基于寄存器灵活时序库的建立时间余量
Figure FDA00032018816800000437
和保持时间余量
Figure FDA00032018816800000438
都大于零的情况下,能够改变时钟周期使得其最小,即取得时钟周期T的最小值。
6.根据权利要求4所述的基于寄存器灵活时序库的电路时序优化方法,其特征在于,所述线性插值方式具体为:
第一步:将32种组合中的每一个组合以及目标组合都视为一个空间坐标,则任意两个空间坐标最多存在4个相同的坐标值,将存在这种情况的两坐标相对应的寄存器传播延时记为
Figure FDA00032018816800000439
Figure FDA00032018816800000440
并在坐标值不同的维度上对每一对进行一维线性插值,不同的维度上分别记为
Figure FDA00032018816800000441
并用x1表示目标组合在该维度上的坐标值,得到新的插值坐标以及该插值坐标对应的寄存器延时
Figure FDA00032018816800000442
1≤i≤16,公式如下:
Figure FDA0003201881680000051
第二步:第一步得到的16个空间坐标,任意两个空间坐标仍最多存在四个维度的值相同,且满足这种条件的两坐标共同的一维就是目标组合的值,将两坐标中坐标值不同的维度记为
Figure FDA0003201881680000052
Figure FDA0003201881680000053
用x2表示目标组合在该维度上的坐标值,并做一维线性插值得到新的空间坐标以及对应的寄存器传播延时
Figure FDA0003201881680000054
1≤j≤8,公式如下:
Figure FDA0003201881680000055
第三步:第二步得到8个空间坐标,任意两个空间坐标仍最多存在四个维度的值相同,且满足这种条件的两坐标共同的两维为目标组合的值,将两坐标中坐标值不同的维度记为
Figure FDA0003201881680000056
Figure FDA0003201881680000057
用x3表示目标组合在该维度上的坐标值,并做一维线性插值得到新的空间坐标以及对应的寄存器传播延时
Figure FDA0003201881680000058
1≤m≤4,公式如下:
Figure FDA0003201881680000059
第四步:由第二步得到4个空间坐标,任意两个空间坐标仍最多存在四个维度的值相同,且满足这种条件的两坐标共同的三个维度为目标组合的值,将两坐标中坐标值不同的维度记为
Figure FDA00032018816800000510
Figure FDA00032018816800000511
用x4表示目标组合在该维度上的坐标值,并做一维线性插值得到新的空间坐标以及对应的寄存器传播延时
Figure FDA00032018816800000512
1≤n≤2,公式如下:
Figure FDA00032018816800000513
第五步:由第四步得到两个坐标,两坐标只有一个维度的值不同,其余四个维度的值与目标组合的值相同,将两坐标中坐标值不同的维度记为
Figure FDA00032018816800000514
Figure FDA00032018816800000517
用x5表示目标组合在该维度上的坐标值,在坐标值不同的维度上进行插值,得到插值目标
Figure FDA00032018816800000515
Figure FDA00032018816800000516
CN202110906714.9A 2021-08-09 2021-08-09 一种基于寄存器灵活时序库的电路时序优化方法 Active CN113673193B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN202110906714.9A CN113673193B (zh) 2021-08-09 2021-08-09 一种基于寄存器灵活时序库的电路时序优化方法
US18/011,443 US11829693B2 (en) 2021-08-09 2022-03-09 Method for optimizing circuit timing based on flexible register timing library
PCT/CN2022/079945 WO2023015878A1 (zh) 2021-08-09 2022-03-09 一种基于寄存器灵活时序库的电路时序优化方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110906714.9A CN113673193B (zh) 2021-08-09 2021-08-09 一种基于寄存器灵活时序库的电路时序优化方法

Publications (2)

Publication Number Publication Date
CN113673193A CN113673193A (zh) 2021-11-19
CN113673193B true CN113673193B (zh) 2022-11-22

Family

ID=78541828

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110906714.9A Active CN113673193B (zh) 2021-08-09 2021-08-09 一种基于寄存器灵活时序库的电路时序优化方法

Country Status (3)

Country Link
US (1) US11829693B2 (zh)
CN (1) CN113673193B (zh)
WO (1) WO2023015878A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113673193B (zh) * 2021-08-09 2022-11-22 东南大学 一种基于寄存器灵活时序库的电路时序优化方法
CN115048889B (zh) * 2022-08-16 2022-11-01 井芯微电子技术(天津)有限公司 基于后端时序收敛仿真的异步路径提取方法及系统
CN116306416B (zh) * 2023-05-17 2023-08-18 芯耀辉科技有限公司 用于生成静态时序分析时序库的方法、设备及介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1985257A (zh) * 2004-05-28 2007-06-20 高通股份有限公司 用于修改电路设计中的保持时间违反的方法和设备
CN103324774A (zh) * 2012-12-29 2013-09-25 东南大学 一种基于时钟规划偏差算法的处理器性能优化方法
CN103632001A (zh) * 2013-11-27 2014-03-12 中国人民解放军国防科学技术大学 基于缓冲单元复用的保持时间时序优化方法
CN105138774A (zh) * 2015-08-25 2015-12-09 中山大学 一种基于集成电路层次化设计的时序后仿真方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6336205B1 (en) 1998-11-12 2002-01-01 Matsushita Electric Industrial Co., Ltd. Method for designing semiconductor integrated circuit
CN113673193B (zh) * 2021-08-09 2022-11-22 东南大学 一种基于寄存器灵活时序库的电路时序优化方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1985257A (zh) * 2004-05-28 2007-06-20 高通股份有限公司 用于修改电路设计中的保持时间违反的方法和设备
CN103324774A (zh) * 2012-12-29 2013-09-25 东南大学 一种基于时钟规划偏差算法的处理器性能优化方法
CN103632001A (zh) * 2013-11-27 2014-03-12 中国人民解放军国防科学技术大学 基于缓冲单元复用的保持时间时序优化方法
CN105138774A (zh) * 2015-08-25 2015-12-09 中山大学 一种基于集成电路层次化设计的时序后仿真方法

Also Published As

Publication number Publication date
US20230214567A1 (en) 2023-07-06
CN113673193A (zh) 2021-11-19
WO2023015878A1 (zh) 2023-02-16
US11829693B2 (en) 2023-11-28

Similar Documents

Publication Publication Date Title
CN113673193B (zh) 一种基于寄存器灵活时序库的电路时序优化方法
CN105138774B (zh) 一种基于集成电路层次化设计的时序后仿真方法
US8677171B2 (en) Method for controlling the dynamic power signature of a circuit
US6799308B2 (en) Timing analysis of latch-controlled digital circuits with detailed clock skew analysis
JPS61283220A (ja) Lsi回路性能の最適化方法
US8797096B2 (en) Crosstalk compensation for high speed, reduced swing circuits
US7941689B2 (en) Minimizing clock uncertainty on clock distribution networks using a multi-level de-skewing technique
TWI391809B (zh) 時脈樹分佈方法
US20070129923A1 (en) Dynamic synchronizer simulation
CN113626994B (zh) 一种寄存器时序约束灵活建模方法
US8839061B2 (en) System and method for scan chain re-ordering
US7480886B2 (en) VLSI timing optimization with interleaved buffer insertion and wire sizing stages
CN112906338B (zh) 对物理分区结构进行时钟设计的方法、系统和介质
WO2004090682A2 (en) Minimization of clock skew and clock phase delay in integrated circuits
JPH10104320A (ja) 走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路
JP2014001937A (ja) スキャンテスト方法、プログラムおよびスキャンテスト回路
JP2011238163A (ja) 半導体集積回路のレイアウト方法、レイアウトシステム及びレイアウトプログラム
Takizawa et al. A design support tool set for asynchronous circuits with bundled-data implementation on FPGAs
US7197445B1 (en) Atomic transaction processing for logic simulation
CN106301285A (zh) 基于重定时的固定系数有限冲击响应滤波器结构
CN109357957B (zh) 一种基于极值窗口的疲劳监测计数方法
WO2020000979A1 (zh) 空间滤波器的建模方法
CN113312864B (zh) 一种s型可配置延时线、时钟结构及时钟延时调整方法
CN113361084B (zh) 芯片设计方法、装置、设备、可读存储介质以及程序产品
CN116681011B (zh) 时间违例修复方法、装置、计算机设备及存储介质

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant