CN113539845A - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN113539845A
CN113539845A CN202110729511.7A CN202110729511A CN113539845A CN 113539845 A CN113539845 A CN 113539845A CN 202110729511 A CN202110729511 A CN 202110729511A CN 113539845 A CN113539845 A CN 113539845A
Authority
CN
China
Prior art keywords
pattern
die
exposure
circuit
chiplets
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110729511.7A
Other languages
English (en)
Inventor
凯雷姆·阿卡尔瓦达尔
黄汉森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113539845A publication Critical patent/CN113539845A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Local Oxidation Of Silicon (AREA)

Abstract

一种半导体单片IC包括:在平面图中具有矩形形状的半导体衬底;各自包括电路的多个小芯片,其中,该多个小芯片布置在该半导体衬底上方并通过填充有介电材料的管芯对管芯空间彼此分离;以及多个导电连接图案,它们电连接该多个小芯片,以使得该多个小芯片的该电路的组合用作一个功能电路。该芯片区域具有比用于制造该第一电路和该第二电路的光刻装置的最大曝光区域更大的面积。本发明的实施例还涉及半导体器件及其制造方法。

Description

半导体器件及其制造方法
技术领域
本发明的实施例涉及半导体器件及其制造方法。
背景技术
为了追求更高的器件密度、更高的性能和更低的成本,半导体行业已进入纳米技术工艺节点,因此对于三维集成和多芯片系统而言,制造和设计问题都面临着挑战。
发明内容
根据本发明实施例的一个方面,提供了一种制造半导体器件的方法,包括:提供第一小芯片的第一电路和第二小芯片的第二电路,其中,第一电路和第二电路中的两个都形成在半导体晶圆的芯片区域上方,并且被布置在第一小芯片与第二小芯片之间的管芯对管芯空间上方的介电层分离;以及形成导电连接图案,导电连接图案电连接第一电路与第二电路并布置在管芯对管芯空间上方。
根据本发明实施例的另一个方面,提供了一种制造半导体器件的方法,包括:在布置在半导体晶圆上方的下层上方形成第一光刻胶层;使用用于第一小芯片的第一光掩模来对第一光刻胶层执行第一曝光;使用用于第二小芯片的第二光掩模来对第一光刻胶层执行第二曝光,其中,第一曝光的第一曝光区域中的第一电路图案区域不与第二曝光的第二曝光区域中的第二电路图案区域重叠;使第一光刻胶层显影以形成第一光刻胶图案;将第一光刻胶图案用作蚀刻掩模来对下层执行蚀刻操作以形成下层图案;在包括布置在半导体晶圆上方的下层图案的下层结构上方形成第二光刻胶层;以及对第一光刻胶层执行使用第三光掩模的第三曝光,其中,第三曝光的第三曝光区域与第一曝光区域和第二曝光区域部分重叠。
根据本发明实施例的又一个方面,提供了一种半导体器件,包括:半导体衬底,从半导体晶圆切割;包括第一电路的第一小芯片和包括第二电路的第二小芯片,第一小芯片和第二小芯片中的两个都布置在半导体衬底上方,并由填充有介电材料的管芯对管芯空间分离;以及多个导电连接图案,连接第一电路与第二电路并布置在管芯对管芯空间上方。
附图说明
当与附图一起阅读时,根据以下详细描述可最好地理解本发明。要强调的是,根据行业的标准实践,各种部件并未按照比例绘制,并且仅用于说明目的。实际上,为论述清楚,各种部件的尺寸可任意增加或减少。
图1A和图1B示出根据本发明的实施例的半导体单片IC的示意性平面图(布局)。
图2A、图2B和图2C示出根据本发明的实施例的晶圆上方的小芯片(管芯)的布局。
图3A、图3B、图3C和图3D示出根据本发明的实施例的晶圆上方的小芯片(管芯)的布局。
图4示出根据本发明的实施例的用于在信号芯片中包括多个小芯片(管芯)的半导体器件的光刻操作。
图5A和图5B示出根据本发明的实施例的用于将相邻的小芯片(管芯)与导电线连接的布线方案。
图6A和图6B示出根据本发明的实施例的用于将相邻的小芯片(管芯)与导电线连接的布线方案。
图7A、图7B、图7C、图7D和图7E示出根据本发明的实施例的用于制造管芯对管芯(DTD)连接图案的顺序过程的各种视图。图7F示出根据本发明的实施例的管芯对管芯(DTD)连接图案的截面图。
图8、图9、图10、图11、图12、图13、图14、图15、图16和图17示出根据本发明实施例的用于制造管芯对管芯(DTD)连接图案的顺序过程的各个阶段。
图18A和图18B示出根据本发明的实施例的用于将相邻的小芯片(管芯)与导电线连接的布线方案。
图19示出根据本发明的实施例的曝光图(布局)。
图20示出根据本发明实施例的焊盘或焊盘电极的布局或布置。
图21A、图21B和图21C示出根据本发明实施例的用于在信号芯片中包括多个小芯片(管芯)的半导体器件的封装。
具体实施方式
可以理解,以下公开提供了用于实现本发明的不同特征的许多不同的实施例或实例。以下描述了元件和布置的实例的具体实施例以简化本发明。当然,这些仅仅是实例,并非旨在限制本发明。例如,元件的尺寸不限于所公开的范围或值,而是可以取决于工艺条件和/或器件的期望特性。此外,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件与第二部件直接接触的实施例,也可以包括形成为插入第一部件和第二部件的附加部件,使得第一部件与第二部件不直接接触的实施例。为了简明和清楚起见,可以以不同比例任意绘制各种部件。
而且,为了便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。器件可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。另外,术语“由…制成”可以意指“包含”或“由…组成”。
由于具有更好的性能、更低的功耗和可靠性,因此包括单片集成电路和系统的多芯片系统对于当前集成电路的分立替代方案较为优选。然而,目前尚不存在具有成本效益的高产量单片系统集成方案。一种替代方案是单片3D集成系统,它们由于顺序集成而成本高昂,由于工艺复杂性而产量较低,并且与上层金属布线层的工艺热限制相关联而器件性能较差。另一种替代方案是2.5D/3D封装,它们是一种用于“系统级封装”(SiP)的方法,并且可实现许多商业高性能计算产品。然而,这些系统和方法不是单片集成电路,并且需要涉及封装中的多个芯片的组装过程。组装过程通常需要以下过程中的一个或多个,从而增加成本:制造中介层和贯穿硅通孔(TSV)、晶圆减薄过程、键合过程和掩模缝合技术。此外,鉴于有限的键合间距,管芯对管芯互连的数量仍然有限,这对可实现的带宽(每秒在管芯之间传输的字节数)施加更高的限制。
在本发明中,提供了一种实现改进的单片系统的新颖工艺和器件,它使得可在2D平面上组合超出光掩模面积限制的任何数量的小芯片。
在本发明中,单片集成电路(IC)通常是指包括形成在单个半导体衬底上方的多个小芯片的半导体器件,该单个芯片从半导体晶圆切割。芯片或半导体芯片是指从晶圆切割或从晶圆切割的半导体衬底。在一些实施例中,多个小芯片被模制成具有引线框架的单个树脂封装。小芯片也称为管芯,它通常是指在有或没有其他小芯片的情况下执行给定功能性并被划片道和/或管芯对管芯空间包围的电路区域。小芯片的大小通常对应于在光刻操作中设定的曝光区域,并且等于或小于可在光刻装置(步进仪或扫描仪)中布置的最大曝光区域。因此,在单个半导体衬底上具有多个小芯片的单片IC可具有比最大曝光面积更大的大小。最先进的曝光工具(例如,KrF、ArF扫描仪或EUV扫描仪)利用6英寸的中间掩模/光掩模(150平方毫米的衬底)以1/4倍的缩小率成像在晶圆上,使得晶圆上场的最大曝光面积为26×33mm2(中间掩模上为104×132mm2)。
图1A和图1B示出根据本发明的实施例的单片IC的示意性平面图(布局)。在图1A中,在一些实施例中,四个小芯片CL1、CL2、CL3和CL4形成在半导体衬底10上。在一些实施例中,小芯片CL1、CL2、CL3和CL4具有不同电路布局和/或不同功能。在一些实施例中,小芯片中的一个可包括存储器件,诸如动态随机存取存储器(DRAM)、静态RAM(SRAM)、闪存或其他基于CMOS的存储器件,作为其主要电路(占据例如小芯片面积的多于75%)。管芯对管芯空间DTDS布置在相邻的小芯片之间,并且划片道SL围绕四个小芯片。在单片IC的制造期间,在半导体晶圆(例如,300mm、200mm或150mm的Si晶圆)上形成多个单片IC。划片道设置在相邻的单片IC区域之间,并在一些实施例中具有与每个单片IC内的管芯对管芯空间相同的宽度。由于将晶圆切割成多个单片IC芯片,因此通过切割划片道,划片道SL的宽度小于管芯对管芯空间DTDS的宽度。在一些实施例中,在划片道SL和/或管芯对管芯空间DTDS上设置除了电连接相邻小芯片的布线图案以外的不用作每个小芯片的功能电路的一部分的测试图案、测量图案或其他图案。
如图1A和图1B所示,提供四个小芯片,但一个单片IC中的小芯片的数量不限于四个,并且可以是两个、三个、五个、六个或更多个。在一些实施例中,如图1A所示,四个小芯片CL1至CL4具有相同的面积(由划片道和管芯对管芯空间包围的区域)。在其他实施例中,一个或多个小芯片具有与另一小芯片不同的大小。在一些实施例中,如图1B所示,小芯片CL5和CL6具有相同的大小(管芯大小),并且小芯片CL7和CL8具有彼此不同的大小以及与小芯片CL5和CL6具有不同的大小。在一些实施例中,管芯对管芯空间DTDS的宽度分别对于相邻小芯片是相同的。在其他实施例中,管芯对管芯空间DTDS的宽度是不同的。图2A、图2B和图2C示出根据本发明的实施例的晶圆上方的小芯片的布局。图2A示出芯片中的单一类型的小芯片。图2B示出单片IC壳体,其中,在一个芯片中形成两种不同类型的小芯片。图2C示出单片IC壳体,其中,在一个芯片中形成四种不同类型的小芯片。在完成所有必要的制造芯片工艺之后,通过沿着划片道将其切割成多个芯片,从而将晶圆切割成小块。晶圆的轮廓仅出于说明的目的而示出,并且晶圆的大小可大于所示出的大小(即,芯片大小小于所示出的大小)。在一些实施例中,一个芯片内的小芯片的大小是相同的。
图3A、图3B、图3C和图3D示出根据本发明的实施例的晶圆上方的小芯片的布局。晶圆的轮廓仅出于说明的目的而示出,并且晶圆的大小可大于所示出的大小(即,芯片大小小于所示出的大小)。与图2B和图2C的实施例不同,一个芯片内的小芯片的大小是不同的。在一些实施例中,通过调整掩模叶片和曝光装置的步长来调整用于不同管芯大小的曝光面积。
图3A示出在芯片中包括具有不同大小的两个小芯片的单片IC(芯片)。图3B示出包括在一个芯片中形成的具有彼此不同大小的三个小芯片的单片IC。图3C示出包括在一个芯片中形成的具有彼此不同大小的四个小芯片的单片IC。
图3D示出包括五个小芯片的单片IC。在一些实施例中,具有相同的第一电路图案(相同功能性)的两个第一小芯片、具有相同的第二电路图案的两个第二小芯片以及具有第三电路图案的一个第三小芯片形成在一个芯片中。在一些实施例中,相同的电路图案意味着小芯片中多于90%的电路图案彼此相同。在一些实施例中,相同性等于或小于100%。在一些实施例中,第一小芯片的大小与第二小芯片的大小相同并且与第三小芯片不同。
小芯片的数量和/或小芯片的大小不限于图2B、图2C和图3A至图3D所示。
图4示出根据本发明的实施例的用于单片IC的光刻操作。图4示出与每个曝光步骤的线X11-X11和X12-X12相对应的平面图(布局图)和截面图。
在一些实施例中,在下层UL上方形成光刻胶层PR,以在半导体晶圆上方形成图案。在一个实施例中,晶圆至少在其表面部分上包括单晶半导体层。晶圆包括单晶半导体材料,诸如但不限于Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb和InP。光刻胶可以是正性或负性光刻胶。下层UL包括一种或多种介电材料(例如,氧化硅,氮化硅,SiON、SiOCN、SiOC,氧化铝,氧化铪等)、半导体材料(外延形成的半导体材料,多晶硅,非晶硅等))或导电材料(金属或金属合金)。
在第一曝光中,在曝光装置中设置具有用于第一小芯片CL1的电路图案的光掩模,并且执行第一曝光工艺以在光刻胶层PR中形成潜在图案。以行轴间距P1和列轴间距P2以逐步重复的方式进行曝光。然后,在将晶圆保持在曝光装置的晶圆台上的同时,将用于第一小芯片CL1的光掩模替换为用于第二小芯片CL2的光掩模。执行第二曝光工艺来以行轴间距P1和列轴间距P2以逐步重复的方式在光刻胶层PR中形成潜在图案。通过使用用于第三小芯片CL3的光掩模和用于第四小芯片CL4的光掩模来执行类似操作。在执行四个曝光步骤之后,使光刻胶层PR经受显影工艺以形成光刻胶图案。然后,对整个晶圆上方的下层UL执行一个或多个后续工艺,诸如蚀刻操作。在一些实施例中,用于制造多个小芯片的技术节点在小芯片之间是相同的。例如,所有小芯片的最小分辨率或设计规则都相同。
可以认为,即使当小芯片的大小在芯片内不同,步进重复曝光工艺也与上文解释大体上相同。然而,在图3D所示的布局的情况下,可以两个不同的行轴间距来执行第一小芯片和第二小芯片的曝光。
如上所述,单片IC包括多个小芯片,每个小芯片执行设计的功能。这些小芯片在单片集成电路中电连接以整体上用作集成电路。图5A和图5B示出将相邻的小芯片与导电线连接的布线方案在一些实施例中,通过使用一个或多个光掩模来形成被称为管芯对管芯连接图案的布线图案。图5A和图5B示出用于管芯对管芯(DTD)连接的这种光掩模图案。图5A和图5B中的每个示出对应于线X1-X1和Y1-Y1的平面图(布局)和截面图。
与每个小芯片中的电路图案类似,使用光掩模来将DTD连接图案形成为光刻胶图案。在一些实施例中,DTD连接图案的曝光大小与每个小芯片的曝光大小(管芯大小)相同,其中,小芯片具有相同的管芯大小。如图5A所示,在一些实施例中,用于DTD连接图案的曝光区域仅与第一小芯片CL1和第二小芯片CL2部分地重叠,并且具有相等重叠量(50%)。在其他实施例中,第一小芯片与第二小芯片之间的重叠量不同。在如图5B所示的四个小芯片情况下,用于DTD连接图案的曝光区域仅部分地与第一、第二、第三和第四小芯片CL1至CL4重叠,在一些实施例中具有相等重叠量(25%)。在其他实施例中,第一小芯片至第四小芯片之间的重叠量不同。在形成光刻胶图案之后,执行一个或多个蚀刻操作和导电膜形成操作以形成连接相邻小芯片的导电连接图案。如图5A和图5B所示,导电连接图案被一个或多个介电层覆盖。在一些实施例中,DTD连接图案包括通孔(竖直连接)和导电线(横向连接),因此至少两个光掩模(两种光刻工艺)用于形成此类导电连接图案。
在其他实施例中,DTD连接图案的暴露大小是不同的,例如,小于每个小芯片的暴露大小(管芯大小),如图6A和图6B所示。
在一些实施例中,在小芯片中的所有金属布线层都形成之后,形成管芯对管芯连接图案。在一些实施例中,在小芯片中除了键合焊盘图案之外的所有金属布线层都形成之后,形成管芯对管芯连接图案。
图7A至图7E示出根据本发明的实施例的用于制造管芯对管芯(DTD)连接图案的顺序过程的各种视图。可以认为,可以在图7A至图7E所示的工艺之前、期间和之后提供一个或多个附加操作,并且对于该方法的附加实施例,可以代替或消除下文描述的一些操作。操作/过程的顺序可以互换。
在一些实施例中,小芯片CL1和CL2中的每个包括晶体管和多层布线结构MW。在晶圆上方同时执行小芯片CL1和CL2的制造工艺,并且因此,第一小芯片CL1与第二小芯片CL2之间的多层布线的数量相同。
图7A示出形成将直接连接至DTD连接图案的最上下层导电图案ULP之后的结构。管芯对管芯空间DTDS布置在第一小芯片CL1与第二小芯片CL2之间。在一些实施例中,在管芯对管芯空间DTDS上方形成一个或多个介电层。在一些实施例中,一个或多个导电材料片布置在管芯对管芯空间DTDS中,其不是成为小芯片CL1和CL2的功能电路的一部分。在一些实施例中,最上下层导电图案ULP包括焊盘,在焊盘上形成有Au线或凸块电极。
然后,在下层导电图案上方形成一个或多个介电层DL。在一些实施例中,介电层包括氧化硅、氮化硅、SiON、SiCN、SiOCN、SiON或任何其他合适的介电材料中的一种或多种。介电层通过包括低压CVD(LPCVD)和等离子增强CVD(PECVD)的化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)或其他合适的工艺形成。
然后,如图7C所示,通过使用一种或多种光刻和蚀刻操作来形成包括接触开口和凹槽的开口图案。随后,用一种或多种导电材料填充接触开口和凹槽,并用附加掩模加以图案化以形成连接图案CP,如图7D所示。图7E是图7D的俯视图(平面图)。
图8至图17示出根据本发明的实施例的用于制造管芯对管芯(DTD)连接图案的顺序过程的各种视图。可以认为,对于该方法的附加实施例,可在图8至图17所示的过程之前、期间和之后提供附加操作,并且可替换或消除以下描述的一些操作。操作/过程的顺序可以互换。如与前述实施例一起解释的材料、过程、方法、大小和/或配置可应用于以下实施例,并且可省略其详细描述。在一些实施例中,与图8至图17一起解释的操作针对双镶嵌工艺。
如图8所示,在小芯片CL1和CL2中的每个的区域中的半导体晶圆100上方形成诸如场效应晶体管(FET)等下层器件110。此外,下层器件110被一个或多个层间介电(ILD)层120覆盖。在各种实施例中,FET包括鳍式场效应晶体管(FinFET)、栅极全能型FET(GAA FET)和/或其他MOS晶体管、以及电容器、电阻和/或其他电子元件作为下层器件。
小芯片CL1和CL2中的每个都包括互连结构,该等互连结构包括具有导电图案的多个互连图案(布线)层和用于将一个部分/部件中的各种部件连接至小芯片中的其他部分/部件的多个接触孔/通孔。互连和通孔结构由诸如金属等导电材料形成,并且在各个实施例中,每个小芯片包括若干互连层。不同层中的互连层图案也通过在一个或若干互连层之间竖直延伸的通孔彼此耦合。在一些实施例中,互连层图案可代表位线、信号线、字线、电源轨和各种输入/输出连接。在本发明的一些实施例中,每个互连结构通过双或单镶嵌工艺形成,其中,沉积金属间电介质(IMD)材料层,形成沟槽和通孔并用导电材料填充(例如,铜、铝或各种合金),并且通过化学机械抛光(CMP)使表面平坦化,尽管在其他实施例中使用了其他图案化技术。多个图案化光刻工艺用于形成低于光刻工艺分辨率极限的密集排列的互连和/或通孔。
在一些实施例中,半导体晶圆是硅晶圆。可选地,晶圆可包括诸如锗等另一种基本半导体;诸如锗;化合物半导体,包括诸如SiC和SiGe等IV-IV族化合物半导体、诸如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP等III-V族化合物半导体;或其组合。诸如非晶硅或非晶SiC等非晶层或诸如氧化硅等绝缘材料也可以用作晶圆。晶圆可以包括已经适当地掺杂有杂质(例如,p型或n型导电性)的各种区。
ILD或IMD层包括氧化硅、氮化硅、氮氧化硅(SiON)、SiOCN、掺氟硅酸盐玻璃(FSG)或低k介电材料或任何其他合适的介电材料。可通过化学气相沉积(CVD)或其他合适的膜形成工艺来形成ILD层。
在一些实施例中,互连层包括M层,其中,M是2或更大和20或更小的自然数。例如,图8仅出于简明起见示出最上第M布线层130。然而,应注意,该结构包括电连接至诸如晶体管等下层结构的第一至M-1互连层。如图8所示,第M布线层130嵌入在ILD层120的最上层中。在一些实施例中,小芯片的第M布线层130包括焊盘,在该焊盘上形成金线或凸块电极。类似于图7A至图7D,在管芯对管芯空间DTDS中形成一个或多个介电层140。
然后,如图9所示,在第M金属布线层130上方形成一个或多个介电层150。介电层150包括氧化硅、氮化硅、氮氧化硅(SiON)、SiOCN、掺氟硅酸盐玻璃(FSG)或低k介电材料或任何其他合适的介电材料。可通过化学气相沉积(CVD)或其他合适的膜形成工艺来形成介电层150。此外,在一些实施例中,在介电层150上方形成硬掩模层160。在一些实施例中,硬掩模层160由与介电层150不同的材料制成,并且包括氧化硅、氮化硅、氮氧化硅(SiON)、SiOCN、氧化铝、氧化铪或其他合适的介电材料、非晶或多晶半导体材料(Si、Ge或SiGe)或导电材料(例如,TiN)中的至少一种。
然后,如图10所示,通过使用光刻操作在硬掩模层160上方形成具有开口175的第一掩模图案170。在一些实施例中,第一掩模图案170是光刻胶图案。在一些实施例中,第一掩模图案170是BARC层上的有机底部抗反射涂层(BARC)层或光刻胶层。如上所述,用于形成第一掩模图案170的光刻操作中的曝光区域仅与用于第一小芯片CL1和第二小芯片CL2的区域部分重叠。
接下来,如图11所示,通过使用一个或多个蚀刻操作,对硬掩模层160进行图案化以形成硬掩模图案160P。如图11所示,硬掩模图案160P包括沟槽图案165。
此外,如图12所示,通过使用光刻操作,在硬掩模图案160P和介电层150上方形成具有开口185的第二掩模图案180。在一些实施例中,第二掩模图案180是光刻胶图案,并且在其他实施例中,第二掩模图案180是BARC层或在BARC层上的光刻胶层。如上所述,在光刻操作中形成第二掩模图案180的曝光区域仅与第一小芯片CL1和第二小芯片CL2的区域部分重叠。在一些实施例中,开口185是孔图案。
然后,通过将第二掩模图案180用作蚀刻掩模,对介电层150进行图案化以形成孔155,如图13所示。在一些实施例中,蚀刻在到达第M金属布线层130之前停止,并且在其他实施例中,第M金属布线130在孔155的底部暴露。随后,除去第二掩模图案180。
接下来,如图14所示,通过使将硬掩模图案160P用作蚀刻掩模,对介电层150进一步图案化以形成沟槽157。如图14所示,第M金属布线130在孔155的底部暴露。
在介电层150中形成沟槽157和孔155之后,在沟槽157和孔155中以及硬掩模图案160P上方形成一个或多个导电层190,如图15所示。导电层190包括一层或多层导电材料、诸如铝、铜、钛、钽、钨、钴、钼、氮化钽、镍、TiN、TaN、金属合金、其他合适的材料和/或其组合。可通过CVD、ALD、电镀或其他合适的方法形成导电层190。
然后,如图16所示,执行诸如CMP操作等一种或多种平坦化操作以除去硬掩模图案160P上方的过多导电层190。在一些实施例中,CMP操作在硬掩模图案160P处停止。然后,执行一个或多个附加平坦化操作,诸如CMP操作,以除去导电层190和硬掩模图案,从而形成管芯对管芯连接图案190P,如图17所示。在一些实施例中,CMP操作在介电层150处停止。在其他实施例中,在CMP操作中部分地除去介电层150。用于形成DTD连接图案190P的光刻操作(光刻胶涂覆、曝光和显影)的次数是两次。尽管图17示出一个连接图案190P,但可以认为,在一些实施例中,形成了多个连接图案190P以电连接并在功能上连接小芯片CL1和CL2。
在前述实施例中,DTD连接图案190P形成为小芯片CL1和CL2的第M个互连层上方的第M+1层。在其他实施例中,如图7F所示,在小芯片CL1和CL2的第二或第三至第M互连层(例如,第I互连层)中的一个或多个处形成DTD连接图案CPI。图7F示出DTD连接图案CP2、CP4、CP6和CP7,其中,CP7是第M层。在这种情况下,用与形成小芯片的互连图案相同的导电图案形成工艺来执行用于DTD连接图案190P的DTD连接图案形成工艺(例如,如图8至图17所示的双镶嵌工艺)。在其他实施例中,在针对小芯片CL1和CL2的相同互连图案的导电图案形成工艺之前或之后,针对DTD连接图案190P执行附加连接图案形成工艺。
尽管图8至图17示出具有一个水平(横向)部分和两个竖直部分(通孔)的一个连接图案,但连接图案的构造不限于此实施例。在一些实施例中,在电连接第一小芯片天元第二小芯片的管芯对管芯空间上方形成多个连接图案。在一些实施例中,一个连接图案包括位于第一小芯片或第二小芯片中的一个内的两个或更多个通孔。在一些实施例中,水平部分是分支的或具有一个或多个弯曲部分(例如,L形、曲柄形等)。
图18A和图18B示出根据本发明的实施例的管芯对管芯连接图案(曝光区域)的布局。如与前述实施例一起解释的材料、过程、方法、大小和/或配置可应用于以下实施例,并且可省略其详细描述。
在实施例中,如图5A和图5B所示,对应于管芯对管芯连接图案的曝光区域与芯片区域中的所有小芯片部分地重叠,并且DTD连接图案形成在与关于图8至图17解释的相同互连层中。
在图18A和图18B的实施例中,对应于管芯对管芯连接图案的曝光区域仅部分地与芯片区域中的一些小芯片而不是所有小芯片重叠,并且DTD连接图案形成在两个或更多个不同互连层中。
在一些实施例中,如图18A所示,小芯片CL1和CL3以及小芯片CL2和CL4分别通过连接图案300、305连接,并且小芯片CL1和CL2以及小芯片CL3和CL4分别通过连接图案310、315连接,如图18B所示。在一些实施例中,用于DTD连接图案300的光刻操作的曝光区域具有与小芯片CL1和CL3的曝光区域相同的面积,并且具有与小芯片CL1和CL3的曝光区域不同(更小)的大小。类似地,在一些实施例中,用于DTD连接图案305的光刻操作的曝光区域具有与小芯片CL2和CL4的曝光区域相同的面积,并且具有与小芯片CL2和CL4的曝光区域不同(更小)的大小。在一些实施例中,用于DTD连接图案310的光刻操作的曝光区域具有与小芯片CL1和CL2的曝光区域相同的面积,并且具有与小芯片CL1和CL2的曝光区域不同(更小)的大小。类似地,在一些实施例中,用于DTD连接图案315的光刻操作的曝光区域具有与小芯片CL3和CL4的曝光区域相同的面积,并且具有与小芯片CL3和CL4的曝光区域不同(更小)的大小。
如图18B所示,DTD连接图案310和315的互连层不同于DTD连接图案300和305的互连层。在一些实施例中,DTD连接图案300和305位于第K个互连层,并且DTD连接图案310和315位于第L个互连层,其中,K和L是大于2的自然数,并且等于或小于M+1。在一些实施例中,K大于L,并且在其他实施例中,K小于L。在一些实施例中,K与L之间的差是1至3中的任何数字。在某些实施例中,K=M且L=M+1。在一些实施例中,利用针对小芯片的第K(或第L)互连图案的导电图案形成工艺来执行用于DTD连接图案300和305(或301和315)的导电图案形成工艺(例如,如图8至图17所示的双镶嵌工艺)。在这种情况下,在光刻操作中,形成光刻胶层,执行针对小芯片CL1至CL4和DTD连接图案的曝光工艺,使曝光的光刻胶层显影,并且执行蚀刻操作。在其他实施例中,除了针对小芯片的第K(或第L)互连图案的导电图案形成工艺之外,还针对DTD连接图案300和305(或310和315)执行附加导电图案形成工艺。在这种情况下,在执行针对小芯片CL1至CL4的光刻和蚀刻操作之前或之后,执行针对DTD连接图案的光刻和蚀刻操作。
在一些实施例中,用于DTD连接图案300(或305)的掩模图案与用于DTD连接图案305(或315)的掩模图案相同。在这种情况下,在一些实施例中,以整个芯片的原始部分的半间距重复用于DTD连接图案300和305的掩模图案的曝光。在其他实施例中,用于DTD连接图案300(或305)的掩模图案与用于DTD连接图案305(或315)的掩模图案不同。
图19示出根据本发明的实施例的曝光区域布局。如上所述,在图8至图17的双镶嵌技术中,采用了CMP操作。在CMP操作中,当图案密度或图案大小不均匀时,目标层的蚀刻量不均匀,这可能引起诸如局部凹陷等各种问题。为了避免此类问题,根据本发明的实施例,形成伪图案。
在一些实施例中,在用于连接图案的光刻操作中,在使用用于光刻胶层上的DTD连接图案的沟槽图案的光掩模的曝光操作之前或之后,使用一种或多种除,对光刻胶层执行使用除DTD连接图案的沟槽图案之外的一个或多个其他光掩模的一个或多个附加曝光操作,如图19所示。一个或多个其他光掩模包括用于提高CMP操作的均匀性的伪图案。在对DTD连接图案和伪图案的沟槽图案进行曝光操作之后,执行用于曝光的光刻胶的显影工艺。
在一些实施例中,伪图案包括周期性或规则布置的线、盒或孔图案,其图案大小和/或密度基本等于DTD连接图案的图案大小和/或密度。在一些实施例中,在曝光区域中的图案密度和/或伪图案的密度小于在曝光区域中的DTD连接图案的图案大小和/或沟槽图案的密度的约±10%。在一些实施例中,取决于底层布线图案的布局,规则布置的伪图案的一些部分丢失,以减小寄生电容。在一些实施例中,当执行用于CMP操作的伪图案曝光时,光刻操作的数量为三。
图20示出焊盘或焊盘电极BP的布置以及焊盘BPO上方的开口。在形成DTD连接图案之后,在DTD连接图案上方形成一层或多层绝缘层(钝化层),并通过使用一种或多种光刻和蚀刻操作来形成用于焊盘或焊盘电极的开口。图20示出在形成开口BPO之后的平面图(布局图)和沿线X2-X2的截面图。
在一些实施例中,如图20所示,键合焊盘或焊盘电极BP(位于开口BPO下方)沿着小芯片CL1和CL2中的每个的三个侧面(仅)沿着整个芯片的外围布置。更具体地,沿着小芯片CL1和CL2中的每个的三个侧面(并因此不是全部四个侧面)布置三个或更多个开口BPO(以及因此三个或更多个键合焊盘或焊盘电极BP)。在一些实施例中,在键合焊盘BPO的开口中的键合焊盘BP上方形成一个或多个导电层。在一些实施例中,在DTD连接图案上方未形成焊盘电极或用于焊盘电极的开口。换句话说,管芯对管芯连接图案仅用于连接相邻小芯片中的电路,而不用于与芯片外部的连接。在其他实施例中,如图20所示,在沿着芯片外围的DTD连接图案上方的管芯对管芯空间DTDS中形成可选的开口BPO'。
在其他实施例中,如图20所示,键合焊盘或焊盘电极BP(位于开口BPO下方)沿着小芯片CL1至CL4中的每个的两个侧面(仅)沿着整个芯片的外围布置。更具体地,沿着小芯片CL1至CL4中的每个的两个侧面(并因此不是全部四个侧面)布置两个或更多个开口BPO(以及因此三个或更多个键合焊盘或焊盘电极BP)。在一些实施例中,在键合焊盘BPO的开口中的键合焊盘BP上方形成一个或多个导电层。在一些实施例中,在DTD连接图案上方未形成焊盘电极或用于焊盘电极的开口。换句话说,管芯对管芯连接图案仅用于连接相邻小芯片中的电路,而不用于与芯片外部的连接。在其他实施例中,如图20所示,在沿着芯片外围的DTD连接图案上方的管芯对管芯空间DTDS中形成可选的开口BPO'。在一些实施例中,当形成用于CMP操作的伪图案时,伪图案位于与连接图案的水平部分相同的水平(高度)。伪图案未电连接至第一小芯片或第二小芯片,并且可以是电浮置的(未连接至包括接地的任何固定电势)。
图21A至图21C示出根据本发明的实施例的封装的半导体器件。在一些实施例中,如图21A所示,通过模制树脂模制包括从半导体晶圆切割的半导体衬底(例如,Si衬底)和形成在半导体衬底上的两个或更多个小芯片(芯片)的半导体芯片。半导体芯片被放置在管芯焊盘上并且经由金线电连接至引线框架。如上所述,在半导体衬底上的小芯片之间的管芯对管芯空间中的一个或多个介电层上方形成管芯对管芯连接图案DTDCP,并且电连接相邻的小芯片。在一些实施例中,在模具树脂中模制各自包括多个小芯片的两个或更多个半导体芯片。
在其他实施例中,如图21B所示,半导体芯片经由球状电极电连接至中介层衬底。中介层衬底包括重布布线和贯穿通孔电极,并且经由球形电极连接至布线板。
在一些实施例中,如图21C所示,半导体器件包括如上所述的一个或多个单片IC以及一个或多个单独IC,每个IC具有封装在光刻装置的曝光区域内的芯片大小。
在一些实施例中,具有矩形形状的芯片(切割后的半导体衬底)的大小大于858mm2(26×33mm2)。在其他实施例中,至少具有矩形形状的芯片的短边大于26mm。根据实施例的半导体器件包括单个半导体衬底,在该单个半导体衬底上半导体电路形成为通过桥接在管芯对管芯空间上方的连接图案而连接的多个小芯片,并且半导体器件具有比对应于光掩模(中间掩模)的有效曝光区域更大的大小,并且半导体衬底被模制成单个半导体封装。
本文描述的各种实施例或示例相比于现有技术提供若干优点。在本发明的实施例中,由于多个小芯片通过管芯对管芯连接图案连接,所以可以获得超出中间掩模限制的大规模单片集成。
将认为,并非在本文中必须讨论所有优点,对于所有实施例不要求特定优点,并且其他实施例可以提供不同的优点。
根据本发明的一个方面,在一种制造半导体器件的方法中,提供第一小芯片的第一电路和第二小芯片的第二电路。所述第一电路和所述第二电路中的两个都形成在半导体晶圆的芯片区域上方,并且被布置在所述第一小芯片与所述第二小芯片之间的管芯对管芯空间上方的介电层分离。形成导电连接图案,所述导电连接图案电连接所述第一电路与所述第二电路并形成在所述管芯对管芯空间上方。在前述和以下实施例中的一个或多个中,所述芯片区域具有比用于制造所述第一电路和所述第二电路的光刻装置的最大曝光区域更大的面积。在前述和以下实施例中的一个或多个中,切割所述半导体晶圆以形成包括所述芯片区域的半导体芯片,所述第一小芯片和所述第二小芯片设置在所述半导体芯片上。在前述和以下实施例中的一个或多个中,用于制造所述第一电路的光刻操作中的曝光区域、用于制造所述第二电路的光刻操作中的曝光区域和用于制造所述导电连接图案的光刻操作中的曝光区域相同。在前述和以下实施例中的一个或多个中,用于制造所述导电连接图案的光刻操作中的曝光区域小于用于制造所述第一电路的光刻操作中的曝光区域和用于制造所述第二电路的光刻操作中的曝光区域。在前述和以下实施例中的一个或多个中,所述导电连接图案将所述第一电路的最上导电层处的图案与所述第二电路的最上导电层处的图案连接。在前述和以下实施例中的一个或多个中,所述管芯对管芯空间不包括电连接至所述第一电路或所述第二电路中的至少一个的功能电路,除了所述导电连接图案之外。
根据本发明的另一方面,在一种制造半导体器件的方法中,在布置在半导体晶圆上方的下层上方形成第一光刻胶层,使用用于第一小芯片的第一光掩模来对所述第一光刻胶层执行第一曝光,并且使用用于第二小芯片的第二光掩模来对所述第一光刻胶层执行第二曝光。所述第一曝光的第一曝光区域中的第一电路图案区域不与所述第二曝光的第二曝光区域中的第二电路图案区域重叠。使所述第一光刻胶层显影以形成第一光刻胶图案。将所述第一光刻胶图案用作蚀刻掩模来对所述下层执行蚀刻操作以形成下层图案。在包括布置在所述半导体晶圆上方的所述下层图案的下层结构上方形成第二光刻胶层,并且对所述第一光刻胶层执行使用第三光掩模的第三曝光,其中,所述第三曝光的第三曝光区域与所述第一曝光区域和所述第二曝光区域部分重叠。在前述和以下实施例中的一个或多个中,所述第一曝光区域、所述第二曝光区域和所述第三曝光区域具有相同的大小。在前述和以下实施例中的一个或多个中,所述第一曝光区域的大小与所述第二曝光区域的大小不同。在前述和以下实施例中的一个或多个中,所述第三曝光区域在大小上与所述第一曝光区域或所述第二曝光区域中的至少一个不同。在前述和以下实施例中的一个或多个中,切割所述半导体晶圆以形成多个半导体芯片,以使得所述多个半导体芯片中的每个包括所述第一曝光区域、所述第二曝光区域和所述第三曝光区域。在前述和以下实施例中的一个或多个中,所述多个半导体芯片中的每个的大小具有比在所述第一、第二和第三曝光中使用的光刻装置的最大曝光区域更大的面积。在前述和以下实施例中的一个或多个中,重复所述第一、第二和第三曝光中的每个,以形成具有行间距P1和列间距P2的曝光区域矩阵。
根据本发明的另一方面,在一种制造半导体器件的方法中,形成第一小芯片至第M互连级的第一电路和第二小芯片至第M互连级的第二电路。所述第一电路和所述第二电路中的两个都形成在半导体晶圆的芯片区域上方,并且被布置在所述第一小芯片与所述第二小芯片之间的管芯对管芯空间上方的介电层分离。在第一电路和第二电路以及管芯对管芯空间上方形成质介层,在所述介电层上方形成硬掩模层,执行第一光刻操作以形成包括在所述第一电路、所述第二电路和所述管芯对管芯空间上方的第一开口的第一光刻胶图案,通过使用所述第一光刻胶图案作为蚀刻掩模来对所述硬掩模层进行图案化形成具有对应于所述第一开口的第二开口的硬掩模图案,并且执行第二光刻操作以分别在所述第一电路和所述第二电路上方形成包括第三开口的第二光刻胶图案。在管芯对管芯空间上未形成开口。通过将第二光刻胶图案用作蚀刻掩模来对所述介电层进行图案化来在所述介电层中形成孔,通过将所述硬掩模图案用作蚀刻掩模来对所述介电层进行图案化来在所述介电层中形成沟槽,所述沟槽和所述孔填充有一个或多个导电层,并且通过对所述一个或多个导电层执行化学机械抛光操作来形成连接所述第一电路与所述第二电路的连接图案。在前述和以下实施例中的一个或多个中,在所述连接图案上方形成一个或多个钝化层,并且形成开口以暴露所述第一电路和所述第二电路的焊盘电极。沿着所述第一小芯片和所述第二小芯片中的每个的至少两侧而不是所有四个侧布置三个或更多个开口。在前述和以下实施例中的一个或多个中,切割所述半导体晶圆以形成包括所述芯片区域的半导体芯片,所述第一小芯片和所述第二小芯片设置在所述半导体芯片上。在前述和以下实施例中的一个或多个中,所述第一光刻操作和所述第二光刻操作中的曝光区域与所述第一小芯片和所述第二小芯片部分重叠。在前述和以下实施例中的一个或多个中,在所述第二光刻操作中,在所述介电层上方形成光刻胶层,将第一光掩模用于所述第一开口来对所述光刻胶层执行第一曝光,将第二光掩模用于所述光刻胶层上的伪图案来执行第二曝光,并且使所述光刻胶层显影。在前述和以下实施例中的一个或多个中,所述化学机械抛光操作包括在硬掩模图案处停止的第一化学机械抛光操作和除去所述硬掩模图案的第二化学机械抛光操作。
根据本发明的另一方面,一种半导体器件包括:半导体衬底,从半导体晶圆切割;包括第一电路的第一小芯片和包括第二电路的第二小芯片,所述第一小芯片和第二小芯片中的两个都布置在所述半导体衬底上方,并由填充有介电材料的管芯对管芯空间分离;以及多个导电连接图案,连接所述第一电路与所述第二电路并布置在所述管芯对管芯空间上方。在前述和以下实施例中的一个或多个中,所述半导体衬底的至少短边大于26mm。在前述和以下实施例中的一个或多个中,所述管芯对管芯空间不包括电连接至所述第一电路或所述第二电路中的至少一个的功能电路,除了所述多个导电连接图案以外。在前述和以下实施例中的一个或多个中,所述半导体器件还包括一个或多个钝化层,所述一个或多个钝化层覆盖所述第一电路、所述第二电路和所述多个连接图案。在前述和以下实施例中的一个或多个中,所述半导体器件还包括模制树脂,所述模制树脂利用所述第一小芯片和所述第二小芯片以及所述多个连接图案来密封所述半导体衬底。在前述和以下实施例中的一个或多个中,所述多个导电连接图案将所述第一电路的最上导电层处的图案与所述第二电路的最上导电层处的图案连接。在前述和以下实施例中的一个或多个中,所述第一和第二电路中的每个包括M层互连层,其中M是大于2的自然数,并且所述多个导电连接图案将所述第一电路的M个层中的第I层处的图案与所述第二电路的M个层中的第I层处的图案连接,其中,N小于M。在前述和以下实施例中的一个或多个中,所述半导体器件还包括形成在与所述多个连接图案处于同一层级的虚设导电图案。
根据本发明的另一方面,一种在模制树脂中模制的半导体器件包括:半导体衬底包括第一电路的第一小芯片、包括第二电路的第二小芯片、包括第三电路的第三小芯片和包括第四电路的第四小芯片,它们都布置在半导体衬底上并且设置在半导体衬底上并分别由填充有介电材料的管芯对管芯空间分离;以及多个导电连接图案,电连接所述第一电路、所述第二电路所述第三电路和所述第四电路并布置在所述管芯对管芯空间上方。在前述和以下实施例中的一个或多个中,所述第一、第二、第三和第四小芯片的大小彼此相同。在前述和以下实施例中的一个或多个中,所述第一、第二、第三和第四小芯片中的至少一个具有与剩余小芯片中的至少一个不同的大小。在前述和以下实施例中的一个或多个中,所述半导体衬底的至少短边大于26mm。在前述和以下实施例中的一个或多个中,所述管芯对管芯空间不包括电连接至所述第一电路、第二、第三和第四电路的功能电路,除了所述多个导电连接图案之外。在前述和以下实施例中的一个或多个中,所述第一、第二、第三和第四电路包括M层互连层,其中M是大于2的自然数,所述多个导电连接图案将所述第一电路的M个层中的第K层处的图案与所述第二电路的M个层中的第K层处的图案连接,其中,K是等于或小于M的自然数,并且所述多个导电连接图案将所述第三电路的M个层中的第L层处的图案与所述第四电路的M个层中的第L层处的图案连接,其中,L是自然数且等于或小于M。在前述和以下实施例中的一个或多个中,K与L不同。在前述和以下实施例中的一个或多个中,K和L等于M。在前述和以下实施例中的一个或多个中,所述第一、第二、第三和第四小芯片中的至少两个具有相同功能性。
根据本发明的另一方面,一种在模制树脂中模制的半导体器件包括:半导体衬底,在平面图中具有矩形形状;多个小芯片,各自包括电路,其中,所述多个小芯片布置在所述半导体衬底上方并通过填充有介电材料的管芯对管芯空间彼此分离;以及多个导电连接图案,电连接所述多个小芯片,以使得所述多个小芯片的所述电路的组合用作一个功能电路。所述多个导电连接图案布置在所述管芯对管芯空间上方。在前述和以下实施例中的一个或多个中,所述半导体衬底的至少短边大于26mm。在前述和以下实施例中的一个或多个中,所述半导体器件还包括上面形成有电路的另一半导体衬底,并且所述另一半导体衬底的大小小于26×33mm2。在前述和以下实施例中的一个或多个中,所述小芯片中的至少一个包括占据所述至少一个小芯片的面积的大于75%的存储器件。前文概述了若干实施例或实例的部件,以使得本领域技术人员可更好地理解本发明的各方面。本领域的技术人员应该理解的是,他们可以轻松地将本发明作为基础来设计或修改用于实施与本文所介绍实施例的相同目的和/或实现相同的优点的其他工艺或结构。本领域技术人员还应该认识到,这样的等同构造不脱离本发明的精神和范围,并且在不脱离本发明的精神和范围的情况下,它们可在这里进行各种改变、替换和变更。

Claims (10)

1.一种制造半导体器件的方法,包括:
提供第一小芯片的第一电路和第二小芯片的第二电路,其中,所述第一电路和所述第二电路中的两个都形成在半导体晶圆的芯片区域上方,并且被布置在所述第一小芯片与所述第二小芯片之间的管芯对管芯空间上方的介电层分离;以及
形成导电连接图案,所述导电连接图案电连接所述第一电路与所述第二电路并布置在所述管芯对管芯空间上方。
2.根据权利要求1所述的方法,其中,所述芯片区域具有比用于制造所述第一电路和所述第二电路的光刻装置的最大曝光区域更大的面积。
3.根据权利要求2所述的方法,还包括:切割所述半导体晶圆以形成包括所述芯片区域的半导体芯片,所述第一小芯片和所述第二小芯片设置在所述半导体芯片上。
4.根据权利要求2所述的方法,其中,用于制造所述第一电路的光刻操作中的曝光区域、用于制造所述第二电路的光刻操作中的曝光区域和用于制造所述导电连接图案的光刻操作中的曝光区域相同。
5.根据权利要求2所述的方法,其中,用于制造所述导电连接图案的光刻操作中的曝光区域小于用于制造所述第一电路的光刻操作中的曝光区域和用于制造所述第二电路的光刻操作中的曝光区域。
6.根据权利要求1所述的方法,其中,所述导电连接图案将所述第一电路的最上导电层处的图案与所述第二电路的最上导电层处的图案连接。
7.根据权利要求1所述的方法,其中,所述管芯对管芯空间不包括电连接至所述第一电路或所述第二电路中的至少一个的功能电路,除了所述导电连接图案之外。
8.一种制造半导体器件的方法,包括:
在布置在半导体晶圆上方的下层上方形成第一光刻胶层;
使用用于第一小芯片的第一光掩模来对所述第一光刻胶层执行第一曝光;
使用用于第二小芯片的第二光掩模来对所述第一光刻胶层执行第二曝光,其中,所述第一曝光的第一曝光区域中的第一电路图案区域不与所述第二曝光的第二曝光区域中的第二电路图案区域重叠;
使所述第一光刻胶层显影以形成第一光刻胶图案;
将所述第一光刻胶图案用作蚀刻掩模来对所述下层执行蚀刻操作以形成下层图案;
在包括布置在所述半导体晶圆上方的所述下层图案的下层结构上方形成第二光刻胶层;以及
对所述第一光刻胶层执行使用第三光掩模的第三曝光,其中,所述第三曝光的第三曝光区域与所述第一曝光区域和所述第二曝光区域部分重叠。
9.根据权利要求8所述的方法,其中,所述第一曝光区域、所述第二曝光区域和所述第三曝光区域具有相同的大小。
10.一种半导体器件,包括:
半导体衬底,从半导体晶圆切割;
包括第一电路的第一小芯片和包括第二电路的第二小芯片,所述第一小芯片和第二小芯片中的两个都布置在所述半导体衬底上方,并由填充有介电材料的管芯对管芯空间分离;以及
多个导电连接图案,连接所述第一电路与所述第二电路并布置在所述管芯对管芯空间上方。
CN202110729511.7A 2020-06-30 2021-06-29 半导体器件及其制造方法 Pending CN113539845A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063046233P 2020-06-30 2020-06-30
US63/046,233 2020-06-30
US17/163,080 2021-01-29
US17/163,080 US11735515B2 (en) 2020-06-30 2021-01-29 Method for low-cost, high-bandwidth monolithic system integration beyond reticle limit

Publications (1)

Publication Number Publication Date
CN113539845A true CN113539845A (zh) 2021-10-22

Family

ID=78126324

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110729511.7A Pending CN113539845A (zh) 2020-06-30 2021-06-29 半导体器件及其制造方法

Country Status (3)

Country Link
US (2) US11735515B2 (zh)
CN (1) CN113539845A (zh)
TW (1) TWI782567B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114279571A (zh) * 2021-12-03 2022-04-05 中国电子科技集团公司第十一研究所 一种红外焦平面对出电路芯片及其制备方法
WO2024045730A1 (zh) * 2022-08-27 2024-03-07 华为技术有限公司 芯片及其制备方法、电子设备

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102931094A (zh) * 2011-08-09 2013-02-13 万国半导体股份有限公司 具有增大焊接接触面的晶圆级封装结构及制备方法
US20150371956A1 (en) * 2014-06-19 2015-12-24 Globalfoundries Inc. Crackstops for bulk semiconductor wafers
CN106997153A (zh) * 2016-01-26 2017-08-01 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
CN107689333A (zh) * 2016-08-05 2018-02-13 台湾积体电路制造股份有限公司 半导体封装件及其形成方法
US20180096952A1 (en) * 2016-09-30 2018-04-05 Intel IP Corporation Methods and structures for dicing integrated circuits from a wafer
CN109768035A (zh) * 2017-11-10 2019-05-17 台湾积体电路制造股份有限公司 半导体器件和制造方法
CN109856931A (zh) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法
US20190205495A1 (en) * 2016-09-08 2019-07-04 Mapper Lithography Ip B.V. Method and system for fabricating unique chips using a charged particle multi-beamlet lithography system

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10742217B2 (en) 2018-04-12 2020-08-11 Apple Inc. Systems and methods for implementing a scalable system
US11270953B2 (en) 2018-08-31 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with shielding structure
US10879157B2 (en) 2018-11-16 2020-12-29 Xilinx, Inc. High density substrate and stacked silicon package assembly having the same
US11289424B2 (en) 2018-11-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of manufacturing the same
US11462495B2 (en) * 2020-05-21 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Chiplets 3D SoIC system integration and fabrication methods

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102931094A (zh) * 2011-08-09 2013-02-13 万国半导体股份有限公司 具有增大焊接接触面的晶圆级封装结构及制备方法
US20150371956A1 (en) * 2014-06-19 2015-12-24 Globalfoundries Inc. Crackstops for bulk semiconductor wafers
CN106997153A (zh) * 2016-01-26 2017-08-01 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
CN107689333A (zh) * 2016-08-05 2018-02-13 台湾积体电路制造股份有限公司 半导体封装件及其形成方法
US20190205495A1 (en) * 2016-09-08 2019-07-04 Mapper Lithography Ip B.V. Method and system for fabricating unique chips using a charged particle multi-beamlet lithography system
US20180096952A1 (en) * 2016-09-30 2018-04-05 Intel IP Corporation Methods and structures for dicing integrated circuits from a wafer
CN109768035A (zh) * 2017-11-10 2019-05-17 台湾积体电路制造股份有限公司 半导体器件和制造方法
CN109856931A (zh) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114279571A (zh) * 2021-12-03 2022-04-05 中国电子科技集团公司第十一研究所 一种红外焦平面对出电路芯片及其制备方法
CN114279571B (zh) * 2021-12-03 2024-03-22 中国电子科技集团公司第十一研究所 一种红外焦平面读出电路芯片及其制备方法
WO2024045730A1 (zh) * 2022-08-27 2024-03-07 华为技术有限公司 芯片及其制备方法、电子设备

Also Published As

Publication number Publication date
US20210407901A1 (en) 2021-12-30
TW202203075A (zh) 2022-01-16
TWI782567B (zh) 2022-11-01
US11735515B2 (en) 2023-08-22
US20230352393A1 (en) 2023-11-02

Similar Documents

Publication Publication Date Title
JP7486058B2 (ja) 後面電力供給における交換用埋設電力レール
US10461247B2 (en) Integrated magnetic random access memory with logic device having low-K interconnects
TWI663699B (zh) 半導體封裝及其形成方法
US9691725B2 (en) Integrated semiconductor device and wafer level method of fabricating the same
US20230352393A1 (en) Method for low-cost, high-bandwidth monolithic system integration beyond reticle limit
US20160351797A1 (en) Integrated magnetic random access memory with logic device
CN110970353A (zh) 半导体装置的制造方法
TWI778550B (zh) 三維積體電路封裝及其製造方法
US20190109086A1 (en) Semiconductor Device and Method for Fabricating the Same
US20230053721A1 (en) Bonding structure and manufacturing method therefor
US11749630B2 (en) Interconnect structure and semiconductor chip including the same
CN112956023B (zh) 倒装芯片堆叠结构及其形成方法
US20230360917A1 (en) Semiconductor device and method for fabricating the same
CN221201169U (zh) 半导体管芯封装
US20230170258A1 (en) Edge profile control of integrated circuit chips
US20240145401A1 (en) Layout of scribe line features
US20240186231A1 (en) Semiconductor package including a redistribution structure
US20240113159A1 (en) Semiconductor die package and methods of formation
US20230069511A1 (en) Semiconductor package
US20230178475A1 (en) Delamination control of dielectric layers of integrated circuit chips
US11581278B2 (en) Semiconductor device and method of forming the same
US20220359370A1 (en) Redistribution Layer Layouts on Integrated Circuits and Methods for Manufacturing the Same
US20240047397A1 (en) Bump structure and method of making the same
US20240120257A1 (en) Layer-By-Layer Formation Of Through-Substrate Via
US20230402335A1 (en) Forming Structures In Empty Regions On Wafers With Dual Seal Ring Structures

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination