CN113505556B - 一种可视化计算机辅助芯片设计和仿真验证方法及系统 - Google Patents

一种可视化计算机辅助芯片设计和仿真验证方法及系统 Download PDF

Info

Publication number
CN113505556B
CN113505556B CN202111065759.4A CN202111065759A CN113505556B CN 113505556 B CN113505556 B CN 113505556B CN 202111065759 A CN202111065759 A CN 202111065759A CN 113505556 B CN113505556 B CN 113505556B
Authority
CN
China
Prior art keywords
circuit
grid
transistor
component
entity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202111065759.4A
Other languages
English (en)
Other versions
CN113505556A (zh
Inventor
不公告发明人
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Suzhou Baker Microelectronics Co Ltd
Original Assignee
Suzhou Baker Microelectronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Suzhou Baker Microelectronics Co Ltd filed Critical Suzhou Baker Microelectronics Co Ltd
Priority to CN202111065759.4A priority Critical patent/CN113505556B/zh
Publication of CN113505556A publication Critical patent/CN113505556A/zh
Application granted granted Critical
Publication of CN113505556B publication Critical patent/CN113505556B/zh
Priority to JP2022576196A priority patent/JP2023546763A/ja
Priority to PCT/CN2022/096643 priority patent/WO2023035695A1/zh
Priority to US18/080,403 priority patent/US20230106980A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/31Design entry, e.g. editors specifically adapted for circuit design

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本申请公开了一种可视化计算机辅助芯片设计和仿真验证方法及系统。其中,方法包括响应用户在可视化页面上的电路设计指令,生成虚拟电路结构。将该虚拟电路结构转换为符合预设格式条件的控制信号,并利用与预设格式条件相匹配的解码方法对控制信号进行解码处理,根据解码结果生成电路调节信号。将该电路调节信号发送给集成电路元器件的实体电路生成器,实体电路生成器基于电路调节信号、通过对其上集成的各电路元器件和各节点之间的连接关系进行调整从而生成用于进行仿真验证操作的实体电路结构。本申请可有效提高电路仿真验证效率,提升电路仿真验证结果的精准度。

Description

一种可视化计算机辅助芯片设计和仿真验证方法及系统
技术领域
本申请涉及电数字数据处理技术领域,特别是涉及一种可视化计算机辅助芯片设计和仿真验证方法及系统。
背景技术
EDA(Electronic Design Automation,电子设计自动化)技术以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,以及对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。该技术可极大提高电路设计的效率和可操作性,减轻了设计者的劳动强度。相关技术目前都是在EDA软件中对电路进行设计及仿真。
相关技术在EDA软件进行电路仿真的方法为:先将电路进行矩阵换算,然后在该仿真软件中对矩阵进行计算,最后将计算结果作为仿真结果进行输出。但是,若仿真的电路为复杂电路时,由于复杂电路进行矩阵换算后得到的矩阵的数据量非常大,相关技术对此类矩阵的计算速度就会非常慢,相应的,电路仿真速度也非常慢。此外,EDA软件中的仿真毕竟是通过计算机计算出结果,计算机在计算过程中无法完全模拟实际情况存在的所有参数,因此,计算出的仿真结果与实际情况存在较大的误差可能性。
鉴于此,如何提高电路仿真验证效率,提升电路仿真验证结果的精准度,是所属领域技术人员需要解决的技术问题。
发明内容
本申请提供了一种可视化计算机辅助芯片设计和仿真验证方法及系统,可有效提高电路仿真验证效率,提升电路仿真验证结果的精准度。
为解决上述技术问题,本发明实施例提供以下技术方案:
本发明实施例一方面提供了一种可视化计算机辅助芯片设计和仿真验证方法,包括:
响应用户在可视化页面上的电路设计指令,生成虚拟电路结构;
将所述虚拟电路结构转换为符合预设格式条件的控制信号;
利用与所述预设格式条件相匹配的解码方法,对所述控制信号进行解码处理,根据解码结果生成电路调节信号;
发送所述电路调节信号,以基于所述电路调节信号、通过对集成电路元器件的实体电路生成器中的各元器件和各节点之间的连接关系进行调整、生成用于进行仿真验证操作的实体电路结构。
可选的,所述响应用户在可视化页面上电路设计指令,生成虚拟电路结构,包括:
预先在所述可视化页面中创建多个虚拟元器件;
预先在所述可视化页面中设置元器件存储区域,并在所述元器件存储区域创建元器件列表,以将各虚拟元器件输入至所述元器件列表中,同时为各虚拟元器件设置唯一标识信息;
响应元器件拖曳指令,将所述元器件拖曳指令对应的目标虚拟元器件从存储位置拖曳至所述可视化页面的目标位置处;
响应连接关系设置指令,按照所述连接关系设置指令中的连接关系,对相应的待连接虚拟元器件进行连接。
可选的,所述将所述虚拟电路结构转换为符合预设格式条件的控制信号,包括:
获取所述虚拟电路结构中的各元器件的参数信息;
根据所述参数信息、所述虚拟电路结构的节点数量、所述虚拟电路结构中的各元器件的引脚与各节点之间的连接关系,生成元器件连接关系表;
根据所述元器件连接关系表和当前使用的传输协议生成所述控制信号。
相应的,所述利用与所述预设格式条件相匹配的解码方法,对所述控制信号进行解码处理的过程,包括:
解析所述控制信号,得到所述元器件连接关系表;
将所述元器件连接关系表作为所述解码结果。
可选的,所述实体电路生成器中集成实体电路网格,所述实体电路网格包括电路的各类元器件和多个节点,网格线为元器件的引脚线或节点的连接线,网格相交点为元器件的引脚线和节点的连接线的相交点;所述实体电路网格的每个网格相交点预部署非挥发存储器,所述根据解码结果生成电路调节信号,包括:
根据所述解码结果得到所述虚拟电路结构包含的元器件信息、节点信息以及每个元器件的引脚与各节点之间的连接关系;
根据所述电路元器件信息、所述节点信息和所述连接关系生成与所述实体电路网格相对应的电路网格控制图;
根据所述电路网格控制图中的相交点生成所述电路调节信号,以用于控制所述实体电路网格的网格相交点上部署的非挥发存储器的目标晶体管处于导通状态还是关断状态;所述目标晶体管为与所述实体电路网格的元器件的引脚和节点相连的晶体管。
本发明实施例另外一方面还提供了一种可视化计算机辅助芯片设计和仿真验证系统,包括上位机、实体电路生成器和仿真验证器;
所述上位机用于执行存储器中存储的计算机程序时实现如前任一项所述可视化计算机辅助芯片设计和仿真验证方法的步骤;
所述实体电路生成器集成多类电路元器件,用于根据所述上位机输出的电路调节信号生成相应的实体电路结构;
所述仿真验证器用于对所述实体电路结构进行仿真验证,并输出仿真验证结果。
可选的,所述实体电路生成器中集成实体电路网格;
所述实体电路网格为由电路的各类元器件和多个节点构成的网格形状;所述实体电路网格的网格线为元器件的引脚线或节点的连接线,网格相交点为元器件的引脚线和节点的连接线的相交点,且在所述实体电路网格中的每个网格相交点均部署非挥发存储器;所述实体电路网格包括与节点数量相同且一一对应的多个引出管脚。
可选的,所述非挥发存储器包括第一晶体管、第二晶体管和第三晶体管;
所述第一晶体管与隧穿电极相连;所述第二晶体管与控制电极相连;所述第一晶体管、所述第二晶体管和所述第三晶体管的栅极均与浮栅电极相连;所述第一晶体管和所述第二晶体管的源极、漏极均与衬底相连;所述第三晶体管的栅极和源极不相连,且所述第三晶体管的栅极和源极与每个网格相交点相连。
可选的,所述第三晶体管为P-LDMOS管或N-JFET管;
所述第三晶体管为P-LDMOS管:若根据所述电路调节信号判定所述实体电路网格的当前网格相交点上部署的当前非挥发存储器的第三晶体管为导通状态,则所述当前非挥发存储器的控制电极接高电压VP端,所述当前非挥发存储器的隧穿电极接0 V端;若根据所述电路调节信号判定所述当前非挥发存储器的第三晶体管为关断状态,则所述当前非挥发存储器的隧穿电极接高电压VP端,所述当前非挥发存储器的控制电极接0 V端。
所述第三晶体管为N-JFET管:若根据所述电路调节信号判定所述实体电路网格的当前网格相交点上部署的当前非挥发存储器的第三晶体管为导通状态,则所述当前非挥发存储器的隧穿电极接高电压VP端,所述当前非挥发存储器的控制电极接0 V端;若根据所述电路调节信号判定所述当前非挥发存储器的第三晶体管为关断状态,则所述当前非挥发存储器的控制电极接高电压VP端,所述当前非挥发存储器的隧穿电极接0 V端。
可选的,所述实体电路生成器与所述上位机断开连接且断电之后,所述仿真验证器的输入端、输出端、目标设备与所述实体电路生成器的对应引出管脚相连。
可选的,所述实体电路生成器为多个,各实体电路生成器通过模块连接接口相连。
本申请提供的技术方案的优点在于,先为需要进行仿真验证的电路设计相应的电路结构设计图,将该电路结构设计图转化为机器可识别的控制信号,利用该控制信号生成通过对实体电路生成器中的各元器件和各节点之间的连接关系进行调整以生成电路设计图对应的实体电路结构的电路调节信号。由于实体电路生成器集成的是真实的电路元器件,故最终用于进行仿真验证的实体电路结构就是对真实的电路元器件的仿真验证,无需进行矩阵转换、计算等处理操作,可有效提高仿真验证速度,可满足任何规模的电路仿真验证的现实速率需求。由于该电路是由实际元器件组成,因此,仿真验证结果完全符合实际情况,从而可有效提升电路仿真验证结果的精准度,确保最终生成的实体电路结构的准确度。
此外,本发明实施例还针对可视化计算机辅助芯片设计和仿真验证方法提供了相应的实现系统,进一步使得所述方法更具有实用性,所述系统具有相应的优点。
应当理解的是,以上的一般描述和后文的细节描述仅是示例性的,并不能限制本公开。
附图说明
为了更清楚的说明本发明实施例或相关技术的技术方案,下面将对实施例或相关技术描述中所需要使用的附图作简单的介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1为本发明实施例提供的一种可视化计算机辅助芯片设计和仿真验证方法的流程示意图;
图2为本发明实施例提供的一个示例性应用场景的三极管基本放大电路结构示意图;
图3为本发明实施例提供的一个示例性应用场景的实体电路网格的示意图;
图4为本发明实施例提供的一个示例性应用场景的电路网格控制图的示意图;
图5为本发明实施例提供的可视化计算机辅助芯片设计和仿真验证装置的一种具体实施方式结构图;
图6为本发明实施例提供的电子设备的一种具体实施方式结构图;
图7为本发明实施例提供的可视化计算机辅助芯片设计和仿真验证系统的一种具体实施方式结构图;
图8为本发明实施例提供的一个示例性应用场景中的非挥发存储器的电路结构的示意图;
图9为本发明实施例提供的另一个示例性应用场景中的非挥发存储器的电路结构的示意图。
具体实施方式
为了使本技术领域的人员更好地理解本发明方案,下面结合附图和具体实施方式对本发明作进一步的详细说明。显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本发明保护的范围。
本申请的说明书和权利要求书及上述附图中的术语“第一”、“第二”、“第三”、“第四”等是用于区别不同的对象,而不是用于描述特定的顺序。此外术语“包括”和“具有”以及他们任何变形,意图在于覆盖不排他的包含。例如包含了一系列步骤或单元的过程、方法、系统、产品或设备没有限定于已列出的步骤或单元,而是可包括没有列出的步骤或单元。
在介绍了本发明实施例的技术方案后,下面详细的说明本申请的各种非限制性实施方式。
首先参见图1,图1为本发明实施例提供的一种可视化计算机辅助芯片设计和仿真验证方法的流程示意图,本发明实施例可包括以下内容:
S101:响应用户在可视化页面上的电路设计指令,生成虚拟电路结构。
在本步骤中,虚拟电路结构即为用户想要进行仿真验证的实体电路的电路结构设计图,本实施例可向用户提供人机交互模块,人机交互模块可包括显示器和数据输入模块、或者是可触摸显示屏,数据输入模块例如可为鼠标、键盘。显示器或可触摸显示屏提供可视化页面,用户通过该人机交互模块在可视化页面上进行电路结构设计图的设计,系统响应用户的电路设计指令,生成相对应的电路设计图,也即虚拟电路结构。
S102:将虚拟电路结构转换为符合预设格式条件的控制信号。
本步骤的控制信号是指系统可识别的信号,上个步骤生成的是电路设计图,系统在对该虚拟机电路结构进行处理时,需要将其转换为机器可识别的信息,预设格式条件即为所属领域技术人员根据系统的软硬件环境及参数所预先规定好的一种数据转换格式,本申请对此不作任何限定,该预设格式条件是为了使得系统可解析得到虚拟电路结构所包含的数据信息。
S103:利用与预设格式条件相匹配的解码方法,对控制信号进行解码处理,根据解码结果生成电路调节信号。
可以理解的是,在上个步骤对虚拟电路结构进行数据格式转换之后,系统在对其解码获取相应的数据信息时,需要对其进行解码处理,解码方法要与预设格式条件相匹配,同样的,所属领域技术人员可根据所采用的预设格式条件去自定义相应的解码方法,解码结果即为虚拟电路结构所包含的数据信息,如包含的电路元器件的类型及参数信息,各电路元器件之间的连接关系等电路结构的基本参数信息。本步骤的电路调节信号用于利用解码所得到的电路结构的基本参数信息去指导实体电路结构的生成。
S104:发送电路调节信号,以基于电路调节信号、通过对集成电路元器件的实体电路生成器中的各元器件和各节点之间的连接关系进行调整、生成用于进行仿真验证操作的实体电路结构。
在本实施例中,实体电路生成器集成有真实的电路元器件,如电阻、电容、三极管等等,其可满足任何规模任何类型电路的组建,通过调节实体电路生成器上集成的各元器件及节点之间的连接关系便可生成真实的电路结构,也即实体电路结构是由真实的电路元器件所搭建而成的电路结构。对该集成模拟电路结构任意重构后进行仿真验证,其仿真验证速度极快,得到的仿真验证结果和真实电路结构的实际运行结果可保证最大程度的相似。
在本发明实施例提供的技术方案中,先为需要进行仿真验证的电路设计相应的电路结构设计图,将该电路结构设计图转化为机器可识别的控制信号,利用该控制信号生成通过对实体电路生成器中的各元器件和各节点之间的连接关系进行调整以生成电路设计图对应的实体电路结构的电路调节信号。由于实体电路生成器集成的是真实的电路元器件,故最终用于进行仿真验证的实体电路结构就是对真实的电路元器件的仿真验证,无需进行矩阵转换、计算等处理操作,可有效提高仿真验证速度,可满足任何规模的电路仿真验证的现实速率需求。由于该电路是由实际元器件组成,因此,仿真验证结果完全符合实际情况,从而可有效提升电路仿真验证结果的精准度,确保最终生成的实体电路结构的准确度。
需要说明的是,本申请中各步骤间没有严格的先后执行顺序,只要符合逻辑上的顺序,则这些步骤可以同时执行,也可按照某种预设顺序执行,图1只是一种示意方式,并不代表只能是这样的执行顺序。
在上述实施例中,对于如何执行步骤S101并不做限定,本实施例中给出虚拟电路结构的一种生成方式,可包括如下步骤:
预先在可视化页面中创建多个虚拟元器件。响应元器件拖曳指令,将元器件拖曳指令对应的目标虚拟元器件从存储位置拖曳至可视化页面的目标位置处;响应连接关系设置指令,按照连接关系设置指令中的连接关系,对相应的待连接虚拟元器件进行连接。
在本实施例中,虚拟元器件是指每个实体电路元器件对应在电路设计图中的符号,预先在系统中创建各种电路常用的虚拟元器件并存储在目标路径下,诸如电阻、电容、三极管、二极管和MOS管等。在用户设计电路结构图时,可直接将所需的已经创建好的虚拟元器件拖曳至相应位置,然后通过鼠标划线方式设置各元器件之间的连接关系,通过响应节点设置指令,在相应位置生成节点标识,从而生成虚拟电路结构,从而可视化地、快速地形成研发人员所设计的各种电路结构,方便用户使用。为了进一步提升用户使用体验,还可预先创建一些常用的可标识连接关系的线段,当然这些线段是具有可编辑功能的,这样便可同样通过拖曳方式拖动至相应位置,若不合适还可调节线段长度等参数使其满足需求。
为了便于管理和便于用户使用,还可预先在可视化页面中设置元器件存储区域,并在元器件存储区域创建元器件列表;将各虚拟元器件输入至元器件列表中,为了便于用户查询和管理,还可为各元器件设置唯一标识信息。该标识信息可作为索引信息,用于通过向检索框输入该标识信息便可得到相应的虚拟元器件,无需用户挨个查找,可提高虚拟电路结构的生成效率,提升用户使用体验。
在上述实施例中,对于如何执行步骤S102并不做限定,本实施例中给出控制信号的一种生成方式,包括如下步骤:
获取虚拟电路结构中的各元器件的参数信息;根据参数信息、虚拟电路结构的节点数量、虚拟电路结构中的各元器件的引脚与各节点之间的连接关系,生成元器件连接关系表;根据元器件连接关系表和当前使用的传输协议生成控制信号。
本实施例的元器件的参数信息可包括元器件类型、数量、物理参数值等,元器件类型如电容、电阻或二极管等,物理参数值是指电阻值或电容值等。可传输协议是指传输该控制信号所采用的数据传输协议。
本实施例在采用上述预设格式条件进行数据转换的基础上,利用与预设格式条件相匹配的解码方法对控制信号进行解码处理的过程可包括:解析控制信号,得到元器件连接关系表;将元器件连接关系表作为解码结果。
为了使所属领域技术人员更加清楚明白本实施例的实现方式,本申请还结合图2以三极管基本放大电路为例,阐述如何将虚拟电路结构转换为系统可以识别的控制信号,并将该控制信号传输至相应的功能模块的过程,本实施例是在上位机中完成的,上位机包括用于执行虚拟电路结构生成操作的设计模块,传输模块,用于对控制信号进行处理的控制模块,可包括下述内容:
A1:用户点击保存设计模块中设计完成的芯片电路结构即虚拟电路结构后,将该虚拟电路结构自动保存到传输模块中。
A2:传输模块读取虚拟电路结构中的各元器件的类型和数量,如图2电路中的元器件有三种,分别为电阻、电容和三极管,其中电阻为三个,电容和三极管分别为一个。其中,虚拟电路结构中的各电路元器件的参数值如电阻值和电容值与实体电路生成器中集成的元器件的相应参数值相同。实际设计过程中,通常需要将实体电路生成器中集成的电阻或电容进行串并联等操作来得到虚拟电路结构中的各个元器件的参数值,如电阻值和电容值。
A3:传输模块读取各个元器件的引脚,并对各个引脚进行标记,如图2电路中的电阻和电容分别具有两个引脚,可标记为1和2,三极管具有三个引脚,可标记为1、2和3。
A4:传输模块读取虚拟电路结构中的节点数量,并对各个节点进行标记,如图2电路中的节点数量为6个,可分别标记为N1、N2、N3、N4、N5和N6。
A5:传输模块读取各个元器件的引脚与节点之间的连接关系,并根据连接关系生成以下表1所示的元器件连接关系表,元器件连接关系表可以元器件为行,以节点为列的形式:
表1、各个元器件的引脚与节点之间的连接关系表格
Figure 291302DEST_PATH_IMAGE001
A6:根据步骤A5中生成的元器件连接关系表和传输模块所采用的传输协议,传输模块生成相应的控制模块可识别的控制信号,并将该控制信号传输给控制模块。相应的,在对传输模块传输过来的控制信号进行解码,便可得到表1所示的元器件连接关系表。
由上可知,本实施例将预设条件格式设置为表的格式,表的行列对应为虚拟电路结构中的节点和元器件,从而可更加直观、清楚地反映虚拟电路结构,便于识别和解码,有利于提高电路生成效率。
在上述实施例中,对于如何执行步骤S103并不做限定,本实施例中给出电路调节信号的一种生成方式,包括如下步骤:
由于电路调节信号是用于指导实体电路生成器来生成实体电路结构的,所以电路调节信号的生成方式要与实体电路生成器的结构保持一致。在本实施例中,实体电路生成器中集成实体电路网格,实体电路网格可包括电路的各类元器件和多个节点,网格线为元器件的引脚线或节点的连接线,网格相交点为元器件的引脚线和节点的连接线的相交点。本实施例在实体电路网格的每个网格相交点预部署非挥发存储器。本实施例的实体电路生成器可以为一个较大的集成电路芯片,该集成电路芯片中集成了一个如图3所示的N*M的实体电路网格,该电路网格中包含有若干个各种类型的常用电路元器件,如包括但不限于P1个电阻、P2个电容、T1个NPN三极管、T2个PNP三极管、T3个NMOS管、T4个PMOS以及T5个二极管等,从而保证实体电路生成器可以得到各种各样的电路结构,也保证实体电路生成器可以生成大小各异的各种电路。实体电路网格的行可对应表示电路中所涉及到的各类元器件,一行为一个元器件,相应的列即对应表示电路中的各节点,一列对应一个电路节点,相应的,此时的实体电路网格的横向的网格线表示每个元器件的引脚线,纵向的网格线表示每个电路节点的连接线。当然,实体电路网格的行也可对应表示电路中的节点,相应的列即对应表示各类元器件。所属领域技术人员可根据实际情况灵活选择,本申请对此不作任何限定。由于电路中会存在若干个节点,实体电路网格中可预先设置100个或者更多数量的节点。为了便于电路调节信号的生成,上述实施例中的元器件连接关系表可与实体电路网格一一对应,也即上述表1可与图3的N*M的电路网格一一对应的,因此,即使电路仅使用了三个电阻、一个电容、一个三极管和六个节点,但是表格中还是要列出所有生成模块中已经集成好的所有元器件和节点,所以表1中存在很多省略号。由于所有电路都是由若干个元器件和若干个节点构成的,因此,只需要对各个元器件和各个节点之间的连接关系进行调整,即可得到各种各样的电路。非挥发存储器用于存储每个电路网格相交点的状态,也就是说该网格相交点对应的元器件和节点是否具有连接关系,每个非挥发存储器均有一个与元器件的引脚和节点相连的晶体管,该晶体管若处于导通状态,表明部署相应的网格相交点对应的节点和元器件是有连接关系的,如图4中的黑点即表示具有连接关系。该晶体管若处于关断状态,表明部署相应的网格相交点对应的节点和元器件是不具有连接关系的。
基于上述实体电路生成器的具体结构,根据解码结果生成电路调节信号的过程可包括:
根据解码结果得到虚拟电路结构包含的元器件信息、节点信息以及每个元器件的引脚与各节点之间的连接关系;根据电路元器件信息、节点信息和连接关系生成与实体电路网格相对应的电路网格控制图,电路网格控制图如图4所示;根据电路网格控制图中的相交点生成电路调节信号,以用于控制实体电路网格的网格相交点上部署的非挥发存储器的目标晶体管处于导通状态还是关断状态;目标晶体管为与实体电路网格的元器件的引脚和节点相连的晶体管。
本实施例中的元器件信息包括元器件类型、数量及物理参数信息,节点信息可包括节点数量和节点位置。以图2为例,图2解码结果为表1,根据解码得到的表1可知,R1的1脚与节点N1相连,R1的2脚与节点N5相连等,基于各元器件和节点的连接关系得到如图4所示的N*M的电路网格控制图,根据N*M的电路网格控制图,得到该网格中N1-1相交点、N1-2相交点、N3-3相交点、N4-4相交点……等相交点均需要导通,根据需要导通的这些信息便可生成相应的调节信号。
在本实施例中,将实体电路生成器设置为由节点和各类型元器件构成的网格形式,基于该实体电路生成器生成相应的电路调节信号,只需要调节各节点和元器件之间的连接关系便可高效得到各种各样的电路,进而有利于提高实体电路的仿真验证效率。
本发明实施例还针对可视化计算机辅助芯片设计和仿真验证方法提供了相应的装置,进一步使得方法更具有实用性。其中,装置可从功能模块的角度和硬件的角度分别说明。下面对本发明实施例提供的可视化计算机辅助芯片设计和仿真验证装置进行介绍,下文描述的可视化计算机辅助芯片设计和仿真验证装置与上文描述的可视化计算机辅助芯片设计和仿真验证方法可相互对应参照。
基于功能模块的角度,参见图5,图5为本发明实施例提供的可视化计算机辅助芯片设计和仿真验证装置在一种具体实施方式下的结构图,该装置可包括:
电路图设计模块501,用于响应用户在可视化页面上电路设计指令,生成虚拟电路结构。
控制信号生成模块502,用于将虚拟电路结构转换为符合预设格式条件的控制信号。
电路调节信号生成模块503,用于利用与预设格式条件相匹配的解码方法,对控制信号进行解码处理,根据解码结果生成电路调节信号。
实体电路生成及仿真模块504,用于发送电路调节信号,以基于电路调节信号、通过对集成电路元器件的实体电路生成器中的各元器件和各节点之间的连接关系进行调整、生成用于进行仿真验证操作的实体电路结构。
可选的,在本实施例的一些实施方式中,上述电路图设计模块501可用于:预先在可视化页面中创建多个虚拟元器件;预先在可视化页面中设置元器件存储区域,并在元器件存储区域创建元器件列表;将各虚拟元器件输入至元器件列表中,并为各虚拟元器件设置唯一标识信息。响应元器件拖曳指令,将元器件拖曳指令对应的目标虚拟元器件从存储位置拖曳至可视化页面的目标位置处;响应连接关系设置指令,按照连接关系设置指令中的连接关系,对相应的待连接虚拟元器件进行连接。
可选的,在本实施例的另一些实施方式中,上述控制信号生成模块502可进一步用于:获取虚拟电路结构中的各元器件的参数信息; 根据参数信息、虚拟电路结构的节点数量、虚拟电路结构中的各元器件的引脚与各节点之间的连接关系,生成元器件连接关系表;根据元器件连接关系表和当前使用的传输协议生成控制信号。相应的,上述电路调节信号生成模块503可用于:解析控制信号,得到元器件连接关系表;将元器件连接关系表作为解码结果。
可选的,在本实施例的其他一些实施方式中,上述电路调节信号生成模块503还可进一步用于:实体电路生成器中集成实体电路网格,实体电路网格包括电路的各类元器件和多个节点,网格线为元器件的引脚线或节点的连接线,网格相交点为元器件的引脚线和节点的连接线的相交点;实体电路网格的每个网格相交点预部署非挥发存储器; 根据解码结果得到虚拟电路结构包含的元器件信息、节点信息以及每个元器件的引脚与各节点之间的连接关系;根据电路元器件信息、节点信息和连接关系生成与实体电路网格相对应的电路网格控制图;根据电路网格控制图中的相交点生成电路调节信号,以用于控制实体电路网格的网格相交点上部署的非挥发存储器的目标晶体管处于导通状态还是关断状态;目标晶体管为与实体电路网格的元器件的引脚和节点相连的晶体管。
上文中提到的可视化计算机辅助芯片设计和仿真验证装置是从功能模块的角度描述,进一步的,本申请还提供一种电子设备,是从硬件角度描述。图6为本申请实施例提供的电子设备在一种实施方式下的结构示意图。如图6所示,该电子设备包括存储器60,用于存储计算机程序;处理器61,用于执行计算机程序时实现如上述任一实施例提到的可视化计算机辅助芯片设计和仿真验证方法的步骤。
其中,处理器61可以包括一个或多个处理核心,比如4核心处理器、8核心处理器,处理器61还可为控制器、微控制器、微处理器或其他数据处理芯片等。处理器61可以采用DSP(Digital Signal Processing,数字信号处理)、FPGA(Field-Programmable GateArray,现场可编程门阵列)、PLA(Programmable Logic Array,可编程逻辑阵列)中的至少一种硬件形式来实现。处理器61也可以包括主处理器和协处理器,主处理器是用于对在唤醒状态下的数据进行处理的处理器,也称CPU(Central Processing Unit,中央处理器);协处理器是用于对在待机状态下的数据进行处理的低功耗处理器。在一些实施例中,处理器61可以集成有GPU(Graphics Processing Unit,图像处理器),GPU用于负责显示屏所需要显示的内容的渲染和绘制。一些实施例中,处理器61还可以包括AI(ArtificialIntelligence,人工智能)处理器,该AI处理器用于处理有关机器学习的计算操作。
存储器60可以包括一个或多个计算机可读存储介质,该计算机可读存储介质可以是非暂态的。存储器60还可包括高速随机存取存储器以及非易失性存储器,比如一个或多个磁盘存储设备、闪存存储设备。存储器60在一些实施例中可以是电子设备的内部存储单元,例如服务器的硬盘。存储器60在另一些实施例中也可以是电子设备的外部存储设备,例如服务器上配备的插接式硬盘,智能存储卡(Smart Media Card,SMC),安全数字(SecureDigital,SD)卡,闪存卡(Flash Card)等。进一步地,存储器60还可以既包括电子设备的内部存储单元也包括外部存储设备。存储器60不仅可以用于存储安装于电子设备的应用软件及各类数据,例如:执行漏洞处理方法的程序的代码等,还可以用于暂时地存储已经输出或者将要输出的数据。本实施例中,存储器60至少用于存储以下计算机程序601,其中,该计算机程序被处理器61加载并执行之后,能够实现前述任一实施例公开的可视化计算机辅助芯片设计和仿真验证方法的相关步骤。另外,存储器60所存储的资源还可以包括操作系统602和数据603等,存储方式可以是短暂存储或者永久存储。其中,操作系统602可以包括Windows、Unix、Linux等。数据603可以包括但不限于可视化计算机辅助芯片设计和仿真验证结果对应的数据等。
在一些实施例中,上述电子设备还可包括有显示屏62、输入输出接口63、通信接口64或者称为网络接口、电源65以及通信总线66。其中,显示屏62、输入输出接口63比如键盘(Keyboard)属于用户接口,可选的用户接口还可以包括标准的有线接口、无线接口等。可选地,在一些实施例中,显示器可以是LED显示器、液晶显示器、触控式液晶显示器以及OLED(Organic Light-Emitting Diode,有机发光二极管)触摸器等。显示器也可以适当的称为显示屏或显示单元,用于显示在电子设备中处理的信息以及用于显示可视化的用户界面。通信接口64可选的可以包括有线接口和/或无线接口,如WI-FI接口、蓝牙接口等,通常用于在电子设备与其他电子设备之间建立通信连接。通信总线66可以是外设部件互连标准(peripheral component interconnect,简称PCI)总线或扩展工业标准结构(extendedindustry standard architecture,简称EISA)总线等。该总线可以分为地址总线、数据总线、控制总线等。为便于表示,图6中仅用一条粗线表示,但并不表示仅有一根总线或一种类型的总线。
本领域技术人员可以理解,图6中示出的结构并不构成对该电子设备的限定,可以包括比图示更多或更少的组件,例如还可包括实现各类功能的传感器67。
上述实施例电子设备或可视化计算机辅助芯片设计和仿真验证装置的各功能模块的功能可根据上述方法实施例中的方法具体实现,其具体实现过程可以参照上述方法实施例的相关描述,此处不再赘述。
由上可知,本发明实施例可有效提高电路仿真验证效率,提升电路仿真验证结果的精准度。
可以理解的是,如果上述实施例中的可视化计算机辅助芯片设计和仿真验证方法以软件功能单元的形式实现并作为独立的产品销售或使用时,可以存储在一个计算机可读取存储介质中。基于这样的理解,本申请的技术方案本质上或者说对现有技术做出贡献的部分或者该技术方案的全部或部分可以以软件产品的形式体现出来,该计算机软件产品存储在一个存储介质中,执行本申请各个实施例方法的全部或部分步骤。而前述的存储介质包括:U盘、移动硬盘、只读存储器(Read-Only Memory,ROM)、随机存取存储器(RandomAccess Memory,RAM)、电可擦除可编程ROM、寄存器、硬盘、多媒体卡、卡型存储器(例如SD或DX存储器等)、磁性存储器、可移动磁盘、CD-ROM、磁碟或者光盘等各种可以存储程序代码的介质。
基于此,本发明实施例还提供了一种可读存储介质,存储有计算机程序,计算机程序被处理器执行时如上任意一实施例可视化计算机辅助芯片设计和仿真验证方法的步骤。
本发明实施例还提供了一种可视化计算机辅助芯片设计和仿真验证系统,请参见图7,该系统可包括上位机701、实体电路生成器702和仿真验证器703。
在本实施例中,上位机701用于执行存储器中存储的计算机程序时实现如上述任意一实施例的可视化计算机辅助芯片设计和仿真验证方法的步骤,上位机701通过通讯传送接口将电路调节信号发送给上位机701外部的实体电路生成器702。
实体电路生成器702集成多类电路元器件,用于根据上位机701输出的电路调节信号生成相应的实体电路结构。作为本实施例的一种可选的实施方式,实体电路生成器702中集成有实体电路网格;实体电路网格为由电路的各类元器件和多个节点构成的网格形状;实体电路网格的网格线为元器件的引脚线或节点的连接线,网格相交点为元器件的引脚线和节点的连接线的相交点,且在实体电路网格中的每个网格相交点均部署非挥发存储器。实体电路网格包括与节点数量相同且一一对应的多个引出管脚,通过这些引出管脚与仿真验证器703相连,从而实现对实体电路结构进行仿真验证。相应的,实体电路生成器702内部还集成有寻址模块;寻址模块接收上位机701的通讯接收接口发送的电路调节信号,根据该电路调节信号对其内部集成的所有与相交点对应的非挥发存储器进行寻址调节,从而得到上位机701所设计的电路结构。
在本实施例中,仿真验证器703用于对实体电路结构进行仿真验证,并输出仿真验证结果。仿真验证器703可包括输入信号接入端即输入端、输出信号接入端即输出端、电源端、接地端和目标设备,目标设备可为测量仪器或显示仪器等。在生成实体电路结构之后,实体电路生成器702在与上位机701断开连接之后,将实体电路生成器702放置于仿真验证器703中准备进行仿真验证。在实体电路生成器702与上位机701断开连接且断电之后,仿真验证器703的输入端、输出端、目标设备与实体电路生成器702的对应引出管脚相连。由于实体电路生成器702采用了非挥发存储器,因此,在其与上位机701断开连接且断电后,非挥发存储器的状态不变,即断电后,实体电路生成器702所生成的实体电路结构不变,始终保持为上位机所设计的电路结构。根据实际的仿真验证场景,从实体电路结构中选择与输入端、输出端、目标设备、电源端相连的节点,以图3为例,节点N1和N3可作为输入信号接入端与仿真验证器的输入端相连接,节点N2可接地,节点N6可与目标设备诸如测量仪器或者显示仪器相连接,节点N4和N5是中间节点,也可用于接测量仪器或者显示仪器。再将实体电路生成器702与电路网格各节点对应的引出管脚和仿真验证器中的输入电源、地或者目标设备成功连接之后,通过测量仪器或者显示仪器直接得到仿真输出数据或者波形数据,从而可快速验证上位机所设计电路的正确性。
本发明实施例可视化计算机辅助芯片设计和仿真验证系统的各功能模块的功能可根据上述方法实施例中的方法具体实现,其具体实现过程可以参照上述方法实施例的相关描述,此处不再赘述。
由上可知,本实施例可有效提高电路仿真验证效率,提升电路仿真验证结果的精准度。
上述实施例对非挥发存储器的结构并不做任何限定,基于上述实施例,本申请还提供了非挥发存储器在一种具体实施方式下的结构,可包括下述内容:
非挥发存储器可包括第一晶体管、第二晶体管和第三晶体管;第一晶体管和第二晶体管例如可为PMOS管(P沟道增强型场效应晶体管)。第一晶体管与隧穿电极TG(Tunneling gate)相连;第二晶体管与控制电极CG(Control gate)相连;第一晶体管、第二晶体管和第三晶体管的栅极均与浮栅电极FG(Floating gate)相连;第一晶体管和第二晶体管的源极、漏极均与衬底相连。如若第三晶体管的源极和栅极相连,则第三晶体管中流过的电流方向和漏源极电压方向都是固定的,而第三晶体管两端分别连接的是元器件引脚和电路节点,元器件引脚和电路节点之间的电压和电流方向是不确定的或者是可变的,故需将第三晶体管的源极和栅极设计为不相连,使得第三晶体管形成为完全对称结构,从而在栅极与衬底之间的压差满足要求后,其电流可以从漏极流向源极,也可以从源极流向漏极。此外,由于第三晶体管的两端需要连接高压器件或高压节点,为了实现对栅极和衬底之间的压差进行单独控制,该压差不受源极电压的影响,从而实现开关管的开通和关断,因此,第三晶体管的源极与栅极不相连,且第三晶体管的栅极和源极与每个网格相交点相连。
如图8所示,作为非挥发存储器的一种可选的实施方式,第一晶体管M1为隧穿管、第二晶体管M2为控制管,第三晶体管M3为开关管。M1和M2均可为PMOS管,且M1和M2的漏源极和衬底相连。在本实施例中,由于第三晶体管的两端连接的是模拟元器件,而某些模拟器件不可避免的需要使用高压,而普通非挥发存储器中的开关管都是低压MOS管,无法承受模拟电路中的高压,因此,本实施例选用LDMOS管来解决该技术弊端。基于此,M3可为源极与栅极不相连的P-LDMOS管(硅微波功率晶体管),M3的源极和漏极接在每个电路网格相交点上,具体地,M3的源极和漏极可分别接元器件的引脚和节点,如R1的1脚与节点N1分别接N1-1相交点处的非挥发存储器的M3的源极和漏极,R1的1脚与节点N2分别接N2-1相交点处的非挥发存储器的M3的源极和漏极。
基于图8所示的非挥发存储器的结构,非挥发存储器的工作状态可为:
若第三晶体管处于导通模式:CG端接高电压VP,TG端接0V,此时隧穿管M1上会有很大的正向压降,电子将会从M1的栅氧化层下方的沟道中,隧穿通过栅氧化层,存储到多晶硅栅中,FG端存储为“1”,此过程中FG上的电势会不断下降,所以M1上的正向电压会不断下降,最后不足以发生隧穿,使得FG端存储保持为“1”,此时多晶硅栅上存有若干电子,该若干电子使得PMOS管的N型衬底中栅极附近的电子被排斥,空穴被吸引,该被吸引的空穴使得M3的漏极与源极导通,即使得M3导通,将元器件引脚与节点连接在一起。若第三晶体管处于关断模式:TG端接高电压VP,CG端接0V,此时隧穿管M1上会有很大的反向压降,电子将会从多晶硅栅中,隧穿通过栅氧化层,抽出到M1的阱区中,节点FG存储为“0”,此过程中FG上的电势会不断升高,所以M1上的反向电压会不断下降,最后不足以发生隧穿,使得FG端存储保持为“0”,此时,多晶硅栅没有存储电子,M3的漏极与源极之间无法形成导电空穴,使得M3的漏极与源极断开,即使得M3关断,将元器件引脚与节点断开连接。
基于上述非挥发存储器的工作状态,若根据电路调节信号判定实体电路网格的当前网格相交点上部署的当前非挥发存储器的第三晶体管为导通状态,则当前非挥发存储器的控制电极接高电压VP端,当前非挥发存储器的隧穿电极接0 V端;若根据电路调节信号判定实体电路网格的当前网格相交点上部署的当前非挥发存储器的第三晶体管为关断状态,则当前非挥发存储器的隧穿电极接高电压VP端,当前非挥发存储器的控制电极接0 V端。
相应的,基于上述实体电路生成器702的结构,电路调节信号的生成方式可为:根据所述电路网格控制图中的相交点是否为目标相交点确定实体电路生成器702的实体电路网格中部署的非挥发存储器的第三晶体管是处于导通状态还是关断状态。对处于导通状态的第一类目标非挥发存储器的CG端的调节信号设置为接高压VP、TG端的调节信号设置为接0V。对处于关断状态的第二类目标非挥发存储器的CG端的调节信号设置为接0 V、TG端的调节信号设置为接高压VP。目标相交点为表示该点对应的元器件和节点是相连的,第一类目标非挥发存储器为第三晶体管处于导通状态的非挥发存储器,第二类目标非挥发存储器为第三晶体管处于关断状态的非挥发存储器。以图2为例,将与N1-1相交点、N1-2相交点、N3-3相交点、N4-4相交点等需要导通的相交点对应的非挥发存储器的CG端的调节信号设计为接高压VP,TG端的调节信号设计为接0V,且其他非挥发存储器的TG端的调节信号设计为接高压VP,CG端的调节信号设计为接0V。
如图9所示,作为与上述实施例的一种并列的实施方式,第一晶体管M4为隧穿管,第二晶体管M5为控制管,第三晶体管J1为开关管。M4和M5可均为PMOS管,且M4和M5的漏源极和衬底相连。由于第三晶体管需要使用源极与栅极不相连、可承受高压且完全对称结构以使电流可以从漏极流向源极,也可以从源极流向漏极的晶体管。考虑到N-JFET管的源极和漏极为N区,栅极为P区,因此,无论源极和漏极接的电压有多大,都不会使得漏极或源极与栅极之间形成通路。此外,N-JFET管的源极与栅极不相连,且其为完全对称结构,其电流可以从漏极流向源极,也可以从源极流向漏极。故第三晶体管可为N-JFET管(结型场效应晶体管)。可将J1的源极和漏极接在每个电路网格相交点上,具体地,J1的源极和漏极分别接元器件的引脚和节点,如R1的1脚与节点N1分别接N1-1相交点处的非挥发存储器的J1的源极和漏极,R1的1脚与节点N2分别接N2-1相交点处的非挥发存储器的J1的源极和漏极。基于图9所示的非挥发存储器的结构,非挥发存储器的工作状态可为:
若第三晶体管处于导通模式:TG端接高电压VP,CG端接0V,此时隧穿管M4上会有很大的反向压降,电子将会从多晶硅栅中,隧穿通过栅氧化层,抽出到M4的阱区中,节点FG存储为“0”,此过程中FG上的电势会不断升高,所以M4上的反向电压会不断下降,最后不足以发生隧穿,使得FG端存储保持为“0”,此时,多晶硅栅没有存储电子,而由于J1的漏极与源极均为N型区,因此,J1的漏极与源极通过漏极与源极之间的N型导电沟道导通,即使得J1导通,将元器件引脚与节点连接在一起。若第三晶体管处于关断模式:CG端接高电压VP,TG端接0V,此时隧穿管M4上会有很大的正向压降,电子将会从M4的栅氧化层下方的沟道中,隧穿通过栅氧化层,存储到多晶硅栅中,FG端存储为“1”,此过程中FG上的电势会不断下降,所以M4上的正向电压会不断下降,最后不足以发生隧穿,使得FG端存储保持为“1”,此时多晶硅栅上存有若干电子,该若干电子使得J1的N型导电沟道中栅极附近的电子被排斥,空穴被吸引,该被吸引的空穴阻断了N型导电沟道,使得N型导电沟道无法导通电子,从而导致J1的漏极与源极断开,即使得J1关断,将元器件引脚与节点断开连接。
基于上述非挥发存储器的工作状态,若根据电路调节信号判定实体电路网格的当前网格相交点上部署的当前非挥发存储器的第三晶体管为导通状态,则当前非挥发存储器的隧穿电极接高电压VP端,当前非挥发存储器的控制电极接0 V端;若根据电路调节信号判定当前非挥发存储器的第三晶体管为关断状态,则当前非挥发存储器的控制电极接高电压VP端,当前非挥发存储器的隧穿电极接0 V端。
相应的,基于上述实体电路生成器702的结构,电路调节信号的生成方式可为:根据所述电路网格控制图中的相交点是否为目标相交点确定实体电路生成器702的实体电路网格中部署的非挥发存储器的第三晶体管是处于导通状态还是关断状态。对处于导通状态的第一类目标非挥发存储器的CG端的调节信号设置为接0V、TG端的调节信号设置为接高压VP端。对处于关断状态的第二类目标非挥发存储器的CG端的调节信号设置为接高压VP端、TG端的调节信号设置为接接0V。以图2为例, 将与N1-1相交点、N1-2相交点、N3-3相交点、N4-4相交点等需要导通的相交点对应的存储器的TG端的调节信号设计为高压,CG端的调节信号设计为0V,且其他存储器的CG端的调节信号设计为高压,TG端的调节信号设计为0V。
由上可知,本实施例的实体电路生成器702的非挥发存储器实现电路重构,断电后,实体电路生成器702中的电路结构不变,始终保持为上位机所设计的电路结构, 使得实体电路生成器702可以脱离上位机,随时进行仿真验证;同时在对实体电路生成器702进行仿真验证时,无需每次上电之后都对实体电路生成器702进行烧写,生成需要进行仿真验证的电路,从而可有效提高电路仿真验证效率,提升用户使用体验。根据模拟电路的特点,将非挥发存储器的开关管设计为可耐高压的开关管,并配合相应的控制方法对非挥发存储器进行控制,实现了模拟电路的任意重构,无需考虑耐压和电流电压方向等问题。本实施例提供了多种非挥发存储器的结构,灵活性更好,实用性也更强,有利于提升用户使用体验。
可以理解的是,由于一个实体电路生成器702中的电路元器件数量是有限的,当电路结构较大时,一个实体电路生成器702可能无法实现整个大电路的搭建,为了满足大规模电路的仿真验证,提升实用性,本申请基于上述实施例,还可包括:
可视化计算机辅助芯片设计和仿真验证系统可包括多个结构相同的实体电路生成器702,各实体电路生成器702通过模块连接接口相连,从而可以得到任意规模的电路结构,进而实现对各种规模结构的电路结构进行仿真验证。
本说明书中各个实施例采用递进的方式描述,每个实施例重点说明的都是与其它实施例的不同之处,各个实施例之间相同或相似部分互相参见即可。对于实施例公开的硬件包括装置及电子设备而言,由于其与实施例公开的方法相对应,所以描述的比较简单,相关之处参见方法部分说明即可。
专业人员还可以进一步意识到,结合本文中所公开的实施例描述的各示例的单元及算法步骤,能够以电子硬件、计算机软件或者二者的结合来实现,为了清楚地说明硬件和软件的可互换性,在上述说明中已经按照功能一般性地描述了各示例的组成及步骤。这些功能究竟以硬件还是软件方式来执行,取决于技术方案的特定应用和设计约束条件。专业技术人员可以对每个特定的应用来使用不同方法来实现所描述的功能,但是这种实现不应认为超出本发明的范围。
以上对本申请所提供的一种可视化计算机辅助芯片设计和仿真验证方法及系统进行了详细介绍。本文中应用了具体个例对本发明的原理及实施方式进行了阐述,以上实施例的说明只是用于帮助理解本发明的方法及其核心思想。应当指出,对于本技术领域的普通技术人员来说,在不脱离本发明原理的前提下,还可以对本申请进行若干改进和修饰,这些改进和修饰也落入本申请权利要求的保护范围内。

Claims (9)

1.一种可视化计算机辅助芯片设计和仿真验证方法,其特征在于,包括:
响应用户在可视化页面上的电路设计指令,生成虚拟电路结构;
将所述虚拟电路结构转换为符合预设格式条件的控制信号;
利用与所述预设格式条件相匹配的解码方法,对所述控制信号进行解码处理,根据解码结果生成电路调节信号;
发送所述电路调节信号,以基于所述电路调节信号、通过对集成电路元器件的实体电路生成器中的各元器件和各节点之间的连接关系进行调整、生成用于进行仿真验证操作的实体电路结构;
其中,所述实体电路生成器中集成实体电路网格;所述实体电路网格为由电路的各类元器件和多个节点构成的网格形状;所述实体电路网格的网格线为元器件的引脚线或节点的连接线,网格相交点为元器件的引脚线和节点的连接线的相交点;且在所述实体电路网格中的每个网格相交点均部署非挥发存储器;所述实体电路网格包括与节点数量相同且一一对应的多个引出管脚。
2.根据权利要求1所述的可视化计算机辅助芯片设计和仿真验证方法,其特征在于,所述响应用户在可视化页面上的电路设计指令,生成虚拟电路结构,包括:
预先在所述可视化页面中创建多个虚拟元器件;
预先在所述可视化页面中设置元器件存储区域,并在所述元器件存储区域创建元器件列表,以将各虚拟元器件输入至所述元器件列表中,同时为各虚拟元器件设置唯一标识信息;
响应元器件拖曳指令,将所述元器件拖曳指令对应的目标虚拟元器件从存储位置拖曳至所述可视化页面的目标位置处;
响应连接关系设置指令,按照所述连接关系设置指令中的连接关系,对相应的待连接虚拟元器件进行连接。
3.根据权利要求1所述的可视化计算机辅助芯片设计和仿真验证方法,其特征在于,所述将所述虚拟电路结构转换为符合预设格式条件的控制信号,包括:
获取所述虚拟电路结构中的各元器件的参数信息;
根据所述参数信息、所述虚拟电路结构的节点数量、所述虚拟电路结构中的各元器件的引脚与各节点之间的连接关系,生成元器件连接关系表;
根据所述元器件连接关系表和当前使用的传输协议生成所述控制信号;
相应的,所述利用与所述预设格式条件相匹配的解码方法,对所述控制信号进行解码处理的过程,包括:
解析所述控制信号,得到所述元器件连接关系表;
将所述元器件连接关系表作为所述解码结果。
4.根据权利要求1至3任意一项所述的可视化计算机辅助芯片设计和仿真验证方法,其特征在于,所述根据解码结果生成电路调节信号,包括:
根据所述解码结果得到所述虚拟电路结构包含的元器件信息、节点信息以及每个元器件的引脚与各节点之间的连接关系;
根据所述元器件信息、所述节点信息和所述连接关系生成与所述实体电路网格相对应的电路网格控制图;
根据所述电路网格控制图中的相交点生成所述电路调节信号,以用于控制所述实体电路网格的网格相交点上部署的非挥发存储器的目标晶体管处于导通状态还是关断状态;所述目标晶体管为与所述实体电路网格的元器件的引脚和节点相连的晶体管。
5.一种可视化计算机辅助芯片设计和仿真验证系统,其特征在于,包括上位机、实体电路生成器和仿真验证器;
所述上位机用于执行存储器中存储的计算机程序时实现如权利要求1至4任一项所述可视化计算机辅助芯片设计和仿真验证方法的步骤;
所述实体电路生成器集成多类电路元器件,用于根据所述上位机输出的电路调节信号生成相应的实体电路结构;
所述仿真验证器用于对所述实体电路结构进行仿真验证,并输出仿真验证结果;
其中,所述实体电路生成器中集成实体电路网格;
所述实体电路网格为由电路的各类元器件和多个节点构成的网格形状;所述实体电路网格的网格线为元器件的引脚线或节点的连接线,网格相交点为元器件的引脚线和节点的连接线的相交点;且在所述实体电路网格中的每个网格相交点均部署非挥发存储器;所述实体电路网格包括与节点数量相同且一一对应的多个引出管脚。
6.根据权利要求5所述的可视化计算机辅助芯片设计和仿真验证系统,其特征在于,所述非挥发存储器包括第一晶体管、第二晶体管和第三晶体管;
所述第一晶体管与隧穿电极相连;所述第二晶体管与控制电极相连;所述第一晶体管、所述第二晶体管和所述第三晶体管的栅极均与浮栅电极相连;所述第一晶体管和所述第二晶体管的源极、漏极均与衬底相连;所述第三晶体管的栅极和源极不相连,且所述第三晶体管的栅极和源极与每个网格相交点相连。
7.根据权利要求6所述的可视化计算机辅助芯片设计和仿真验证系统,其特征在于,所述第三晶体管为P-LDMOS管或N-JFET管;
所述第三晶体管为P-LDMOS管:若根据所述电路调节信号判定所述实体电路网格的当前网格相交点上部署的当前非挥发存储器的第三晶体管为导通状态,则所述当前非挥发存储器的控制电极接高电压VP端,所述当前非挥发存储器的隧穿电极接0 V端;若根据所述电路调节信号判定所述当前非挥发存储器的第三晶体管为关断状态,则所述当前非挥发存储器的隧穿电极接高电压VP端,所述当前非挥发存储器的控制电极接0 V端;
所述第三晶体管为N-JFET管:若根据所述电路调节信号判定所述实体电路网格的当前网格相交点上部署的当前非挥发存储器的第三晶体管为导通状态,则所述当前非挥发存储器的隧穿电极接高电压VP端,所述当前非挥发存储器的控制电极接0 V端;若根据所述电路调节信号判定所述当前非挥发存储器的第三晶体管为关断状态,则所述当前非挥发存储器的控制电极接高电压VP端,所述当前非挥发存储器的隧穿电极接0 V端。
8.根据权利要求7所述的可视化计算机辅助芯片设计和仿真验证系统,其特征在于,所述实体电路生成器与所述上位机断开连接且断电之后,所述仿真验证器的输入端、输出端、目标设备与所述实体电路生成器的对应引出管脚相连。
9.根据权利要求5至8任意一项所述的可视化计算机辅助芯片设计和仿真验证系统,其特征在于,所述实体电路生成器为多个,各实体电路生成器通过模块连接接口相连。
CN202111065759.4A 2021-09-13 2021-09-13 一种可视化计算机辅助芯片设计和仿真验证方法及系统 Active CN113505556B (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN202111065759.4A CN113505556B (zh) 2021-09-13 2021-09-13 一种可视化计算机辅助芯片设计和仿真验证方法及系统
JP2022576196A JP2023546763A (ja) 2021-09-13 2022-06-01 高効率、高精度のチップ回路シミュレーション検証方法、システム、装置及び記憶媒体
PCT/CN2022/096643 WO2023035695A1 (zh) 2021-09-13 2022-06-01 高效率、高精准度的芯片电路仿真验证方法、系统、装置和存储介质
US18/080,403 US20230106980A1 (en) 2021-09-13 2022-12-13 High-efficiency and high-precision chip circuit simulation verification method, system and apparatus and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202111065759.4A CN113505556B (zh) 2021-09-13 2021-09-13 一种可视化计算机辅助芯片设计和仿真验证方法及系统

Publications (2)

Publication Number Publication Date
CN113505556A CN113505556A (zh) 2021-10-15
CN113505556B true CN113505556B (zh) 2021-11-23

Family

ID=78016839

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111065759.4A Active CN113505556B (zh) 2021-09-13 2021-09-13 一种可视化计算机辅助芯片设计和仿真验证方法及系统

Country Status (4)

Country Link
US (1) US20230106980A1 (zh)
JP (1) JP2023546763A (zh)
CN (1) CN113505556B (zh)
WO (1) WO2023035695A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113505556B (zh) * 2021-09-13 2021-11-23 苏州贝克微电子有限公司 一种可视化计算机辅助芯片设计和仿真验证方法及系统
CN116136952A (zh) * 2023-02-09 2023-05-19 之江实验室 一种针对元器件的仿真测试方法及装置
CN117195818B (zh) * 2023-11-07 2024-02-06 英诺达(成都)电子科技有限公司 电源设计命令生成方法、装置、电子设备及存储介质
CN117473931B (zh) * 2023-12-28 2024-04-05 贝叶斯电子科技(绍兴)有限公司 一种基于模拟ic拓扑结构微调的电路性能建模方法与装置
CN117852486A (zh) * 2024-03-04 2024-04-09 上海楷领科技有限公司 一种芯片二维模型线上交互方法、装置和存储介质

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106485006A (zh) * 2016-10-14 2017-03-08 国网北京市电力公司 仿真方法及装置
CN108804844B (zh) * 2018-06-20 2022-02-11 深圳市易星标技术有限公司 一种电路模块识别方法、电路模块和仿真实验系统
CN110428684A (zh) * 2019-07-19 2019-11-08 暨南大学 虚拟现实的物理实验仿真智能引擎系统及工作方法
CN113378418B (zh) * 2021-08-16 2021-12-21 傲林科技有限公司 一种基于事件网技术的模型构建方法、装置及电子设备
CN113505556B (zh) * 2021-09-13 2021-11-23 苏州贝克微电子有限公司 一种可视化计算机辅助芯片设计和仿真验证方法及系统

Also Published As

Publication number Publication date
US20230106980A1 (en) 2023-04-06
CN113505556A (zh) 2021-10-15
JP2023546763A (ja) 2023-11-08
WO2023035695A1 (zh) 2023-03-16

Similar Documents

Publication Publication Date Title
CN113505556B (zh) 一种可视化计算机辅助芯片设计和仿真验证方法及系统
US6023565A (en) Method for configuring circuits over a data communications link
CN103345885B (zh) 箱体走线配置方法及装置和拼接式显示屏的配置方法
CN101770536B (zh) 将以电流模式运行的电子器件迁移到目标技术的方法
US20190042684A1 (en) Schematic Driven Analog Circuit Layout Automation
CN110383272A (zh) 时钟树分析和优化的形式化方法
CN108140067A (zh) 交互式多步骤物理合成
CN100429664C (zh) 用于在集成电路芯片内的电压岛上执行电源布线的方法和设备
CN108304336A (zh) 一种信号处理装置和方法
CN109074412B (zh) 使用自动焊接和自动克隆的电路中的连接的交互式布线
US8650529B2 (en) System and method for integrated circuit layout editing with asymmetric zoom views
JP6300833B2 (ja) シミュレーション方法およびその装置
CN103440391A (zh) 一种基于数值选择函数的半导体工艺角扫描仿真方法
Lin et al. Computational support for multiplicity in hierarchical electronics design
US11314913B2 (en) Information processing apparatus, program, and simulation method
US11354477B1 (en) System and method for performance estimation for electronic designs using subcircuit matching and data-reuse
CN105447212A (zh) 产生集成电路的验证平台文件的方法与编译系统
CN117272428A (zh) 基于显示界面的芯片设计重组系统
US10409941B2 (en) Visual representation of circuit related data
JP4080464B2 (ja) 検証ベクタ生成方法およびこれを用いた電子回路の検証方法
WO2012007955A1 (en) Method of implementing iec 61131-3 control specification through verilog hdl description for modeling, simulation and synthesis of control logic configuration for integrated circuit implementation
JP7125082B2 (ja) 情報処理システム、情報処理方法及びプログラム
CN204946415U (zh) 一种计算机硬件课程综合实验平台
US20180107774A1 (en) Timing analysis for electronic design automation of parallel multi-state driver circuits
US20240126960A1 (en) Intelligent Suggestions for CAD-Based Design Entry

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP03 Change of name, title or address
CP03 Change of name, title or address

Address after: 215000 Building 1, No. 150, Jici Road, science and Technology City, high tech Zone, Suzhou City, Jiangsu Province

Patentee after: Suzhou Baker Microelectronics Co.,Ltd.

Address before: 215000 78 Keling Road, science and Technology City, high tech Zone, Suzhou City, Jiangsu Province

Patentee before: SUZHOU BAKER MICROELECTRONICS Co.,Ltd.