CN100429664C - 用于在集成电路芯片内的电压岛上执行电源布线的方法和设备 - Google Patents

用于在集成电路芯片内的电压岛上执行电源布线的方法和设备 Download PDF

Info

Publication number
CN100429664C
CN100429664C CNB2004800290278A CN200480029027A CN100429664C CN 100429664 C CN100429664 C CN 100429664C CN B2004800290278 A CNB2004800290278 A CN B2004800290278A CN 200480029027 A CN200480029027 A CN 200480029027A CN 100429664 C CN100429664 C CN 100429664C
Authority
CN
China
Prior art keywords
power grid
power
voltage island
wiring
carry out
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004800290278A
Other languages
English (en)
Other versions
CN1906617A (zh
Inventor
陈兵
斯科特·W.·古尔德
马克·K-J.·徐
帕特里克·M.·赖安
埃里克·C.·斯查泽巴奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1906617A publication Critical patent/CN1906617A/zh
Application granted granted Critical
Publication of CN100429664C publication Critical patent/CN100429664C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

公开了一种用于在集成电路芯片内的电压岛上执行电源布线的方法。在金属层面1至N-1上为电压岛产生第一电力网格(31)。然后在金属层面N和之上产生第二电力网格(32)。确定第二强壮电力网格的边界区(33)。最后,距一组电源的最短距离连接被布线到第二电力网格(34)。

Description

用于在集成电路芯片内的电压岛上执行电源布线的方法和设备
技术领域
本发明一般地涉及集成电路设计,更具体地涉及用于在具有电压岛的集成电路中分配电力的方法和设备。进一步更具体地,本发明涉及用于在集成电路内的电压岛上执行电源布线的方法和设备。
背景技术
电压岛(voltage islands)的概念被引入集成电路设计中,以便在同一集成电路芯片上按照提高性能和降低功耗的方式集成多种设计技术。电压岛的概念允许集成电路芯片的一个或更多个部分(岛)由芯片范围(chip-wide)的电源(VDDg)和其它的电压岛电源(VDDi-VDDn)二者供电。VDDg和VDDi-VDDn可以按照集成电路的工作需求而连通和断开。典型地,VDDg和VDDi-VDDn(以及GND)被从独立的芯片范围的电源提供给电压岛。
一般来说,电压岛要求与芯片电力网格的剩余部分分隔开的电源网格结构。为了产生不包含电磁或IR下降破坏的用于电压岛的电强壮(robust)电力网格结构,芯片外和芯片上电源需要被相应地布线。典型地,用于电压岛的芯片外电源在C4球栅阵列位置或线键合位置处产生,用于电压岛的芯片上电源在电压调节器宏管脚(macro pins)处产生。
为了具有从VDDg、VDDi-VDDn至电压岛的有效电力连接,需要克服许多问题。需要克服的问题包括:如何不要求过多的电源导线预期没有选用的电压岛的电力需求,如何不限制设置由于局部稀疏电力网格而包含在电压岛电路内的电源服务端子,如何避免电迁移和IR下降破坏,以及如何避免隔断管脚和其它可布线性问题。
本公开提供了用于在集成电路芯片内的电压岛上执行电源布线的改善的方法。
发明内容
按照本发明的优选实施方式,在金属层面1至N-1上产生用于电压岛的第一电力网格。然后,在金属层面N之上的上面产生第二电力网格。确定第二强壮的电力网格的边界区。最后,距一组电源的最短距离连接被布线到第二电力网格。
本发明的所有目的、特征和优点可以从下文的详细描述中显而易见。
附图说明
本发明自身以及使用的优选方式、进一步的目的及其优点将通过下文结合附图阅读时对示例说明的实施方式的详细描述而被最佳地理解,其中:
图1是具有在其上实施本发明的优选实施方式的电压岛的集成电路芯片图;
图2是按照本发明的优选实施方式的图1中集成电路芯片截面图;
图3是按照本发明的优选实施方式的用于在集成电路芯片内的电压岛上执行电源布线的方法的高级逻辑流程图;
图4是按照本发明的优选实施方式的ShapeRouter例程的高级逻辑流程图;以及
图5是按照本发明的优选实施方式的用于在集成电路芯片内的电压岛上执行电源布线的数据处理系统框图。
具体实施方式
参照附图尤其是参照图1,其中描述了具有在其上执行本发明的优选实施方式的电压岛的集成电路芯片图。如图所示,集成电路芯片10包括电压岛5。电压岛5包括从芯片VDDg电力网格12提供的VDDg电力网格11、从芯片GND电力网格14提供的GND电力网格13、从芯片上VDDi-VDDn电力网格16提供的VDDi-VDDn电力网格15,以及(可选地)从芯片上GNDi-GNDn电力网格18提供的GNDi-GNDn电力网格17。电压岛5也包括用于向电压岛中包含的电路和器件供电的电源服务端子(PSTs)19。PSTs19必须被连接到VDDg、VDDi-VDDn和GND。
尽管在图1中VDDg电力网格11、GND电力网格13和VDDi-VDDn电力网格15被表示为电源环,但本领域的技术人员应当理解其它类型的结构如网格也是可能的。
参照图2,其中描述了按照本发明的优选实施方式的图1中集成电路芯片10的截面图。如图所示,用于电压岛5的电力网格优选地被分成两组,即位于从金属层面1至金属层面N-1的第一电力网格21和位于金属层面N和之上的第二电力网格22。或者,第二电力网格22可以是电力区段的形态。电力区段被定义为具有仅一个层面的金属线的电力网格。第二电力网格22的相关输入和前提条件如下:
1.电力网格源点(source points)(如球栅阵列焊盘、电压调节器管脚、和/或线键合焊盘23,如图2所示);以及
2.具有要求电力网格在特定金属层面上的限定尺寸的电压岛。
现在参照图3,其中说明按照本发明的优选实施方式的用于在集成电路芯片内的电压岛上执行电源布线的方法的高级逻辑流程圈。从方框30开始,在金属层面1至金属层面N-1上产生电压岛的第一电力网格,如方框31所示。优选地采用类似于芯片电力网格产生的技术执行强壮的电力网格产生。然后,在金属层面N和之上的上面产生电压岛的第二电力网格,如方框32所示。如上所述,第二电力网格可以是电力区段的形态(即具有仅一个金属层)。要在金属层面N和之上布线的电力区段(S)的数目优选地由电源的数目和对每个电源进行连接的数目的乘积决定。每个电源可以采用多根导线而不是单根宽导线连接到电压岛。可以调节多根导线的宽度,以便符合所有的电约束。
之后,确定第二电力网格的边界区,如方框33所示。如果第二电力网格由电力区段形成,则所有的电力区段(S)在金属层面N上布线,使得强壮的第二电力网格跨越边界区而形成,并且边界区的总长度可以延伸。随后,从各个电源向第二电力网格(或者向金属层N上的各自电力区段)进行一组最短距离连接的布线,如方框34述。布线程序为每一个连接构建具有起始/终止形态的条目的阵列。这样的阵列被按照距离分类,使得布线程序可以确保从电源到金属层面N上的特定电力区段的最短距离连接。
图3中方框32内的步骤可以进一步扩展如下:
1.获得芯片上电源形态(相同电压极性)的数值计数;
2.识别电压岛所处的芯片位置;
3.计算并产生要在其内执行布线的电压岛顶部上的边界区;以及
4.在边界区内产生电力网格。
图3的方框33内的步骤还包括关键字与电源的关联,并且,在多个电压岛实例的情形下,电压岛识别哪一个电源应当连接到电压岛顶部上的正确的一组电力网格。
图3的方框34内的步骤可以进一步扩展如下:
对于每一个电压VDDi,do{
将源点读入已知为group_A的组;
基于每个源信息的连接划分group_A;
读取目标电力形态(在金属层面N和之上的上面的第二强壮的电力网格)以构建group_B;
对于group_A中的给定形态s,do{
调用ShapeRouter以进行从s到组group_B中形态t的布线
}
}
现在参照图4,其中描述了按照本发明的优选实施方式的ShapeRouter例程的高级逻辑流程图。基本上,用于执行ShapeRouter例程的形态布线程序(shape router)是点对点的布线引擎。首先,执行初始化过程,如方框41中所示。在初始化过程中,设置工作窗口,并构建无网格的方块状图。
然后,构造开口片(open tiles),如方框42所述。在开口片构造期间,如果需要则更新方块图。然后,构建从起始到终止点的节点列表。之后,预处理节点形态以处理“skinny”管脚。基于节点列表和约束构建引导窗口。随后,基于引导窗口和已有的方块构建开口片。
迷宫例程从起始节点列表开始至终止节点列表,如方框43所示。通过加权从开口列表(open list)构建路径,如方框44所述。首先,从终止节点列表向起始节点列表,通过加权遍历(traversing)开口而构建开口形态列表。然后,从开口形态列表构建角形态列表。然后,从角形态列表构建详细的路径。
处理路径末端以到达起始和终止点,如方框45所示。然后,该处理返回详细的路径,如方框46所述。
正如已经描述的那样,本发明提供了用于在集成电路芯片内的电压岛上执行电源布线的方法和设备。采用本发明,可以从芯片外的电源(线键合焊盘)或芯片上的电源(电压调节器宏引脚)向电压岛正上方的电压岛网格进行对电压岛的电源布线。并且,可以从电源到电压岛上方的金属层面区段产生没有交叉或重叠的独特布线。尽管本发明描述了对芯片上驱动器的电源布线,但本领域的技术人员应当理解,本发明也可应用到要求芯片外电源的从芯片外驱动器电路的电源布线。
通常,此处所述的方法由通用计算机来实现,并且该方法可以被编码成可去除或硬介质上的一组指令,由通用计算机使用。参照图5,其中描述了按照本发明的优选实施方式的在集成电路芯片内的电压岛上执行电源布线的通用计算机的方框图。如图所示,计算机系统40包括经由系统总线50被互连到随机存取存储器(RAM)42、只读存储器(ROM)43的处理器41。处理器41也被互连到用于连接可去除存储装置46和大容量存储装置45的输入/输出(I/O)适配器44、用于连接键盘49和鼠标48的用户接口适配器47、用于连接数据端口52的端口适配器51、和用于连接显示装置54的显示适配器53。
ROM43包含计算机系统40的基本操作系统。可去除存储装置46的例子包括软盘驱动器、带驱动器和CD ROM驱动器。大容量存储装置45的例子包括硬盘驱动器和诸如闪速存储器的非挥发性存储器。除了键盘49和鼠标48,其它的用户输入装置,如轨迹球、书写板、压力垫、麦克风、光笔和位置敏感屏幕显示器也可被连接到用户接口47。显示装置的例子包括阴极射线管(CRTs)和液晶显示器(LCDs)。
同样重要的是应当注意,尽管已经在完整功能的计算机系统的情形下描述了本发明,但本领域的技术人员将会理解本发明的机制能够以各种形式发布成程序产品,并且本发明等同应用,不论用于实际地进行该发布的信号承载介质的具体类型如何。信号承载介质的例子非限制地包括可记录型介质如软盘或CD ROMs和传输型介质如模拟或数字通信链接。
尽管已经参照优选的实施方式具体地示出和描述了本发明,但本领域的技术人员应当理解其中可在不背离本发明的精神和范围的情形下进行形式和细节方面的各种改变。

Claims (15)

1、一种用于在集成电路芯片内的电压岛上执行电源布线的方法,所述方法包括:
在金属层面1至N-1上产生用于电压岛的第一强壮电力网格;
在金属层面N和之上的上面产生用于所述电压岛的第二强壮电力网格;以及
从多个电源至所述第二强壮电力网格进行多个最短距离连接的布线。
2、权利要求1的方法,其中所述第二强壮电力网格是电力区段。
3、权利要求1的方法,其中通过确定所述电源的数目与对每个电源要进行的连接的数目的乘积,确定要在所述金属层面N和之上的上面产生的电力区段的数目。
4、权利要求1的方法,其中所述方法还包括确定所述第二强壮电力网格的边界区。
5、权利要求1的方法,其中所述产生第二强壮电力网格还包括:
获得一个芯片上相同电压极性的电源形态的计数;
识别所述电压岛所在的芯片位置;
确定并产生在其上要执行所述布线的所述电压岛顶部上的边界区;以及
产生所述边界区内的电力网格。
6、权利要求1的方法,其中所述布线还包括:
获得多个源点以形成group_A;
基于每个源信息的连接划分所述group_A;
获得在金属层面N和之上的上面的所述第二强壮电力网格的目标电力形态,以构建group_B;以及
对于所述group_A中的给定形态s,执行形态布线以进行从s向所述group_B内形态t的布线。
7、一种用于在集成电路芯片内的电压岛上执行电源布线的计算机系统,所述计算机系统包括:
用于在金属层面1至N-1上产生用于电压岛的第一强壮电力网格的装置;
用于在金属层面N和之上的上面产生用于所述电压岛的第二强壮电力网格的装置;
用于确定所述第二强壮电力网格的边界区的装置;以及
用于从多个电源至所述第二强壮电力网格进行多个最短距离连接的布线的装置。
8、权利要求7的计算机系统,其中所述第二强壮电力网格是电力区段。
9、权利要求7的计算机系统,其中通过确定所述电源的数目与对每个电源要进行的连接的数目的乘积,确定要在所述金属层面N和之上的上面产生的电力区段的数目。
10、权利要求7的计算机系统,其中所述计算机系统还包括用于确定所述第二强壮电力网格的边界区的装置。
11、权利要求7的计算机系统,其中所述用于产生第二强壮电力网格的装置还包括:
用于获得一个芯片上相同电压极性的电源形态的计数的装置;
用于识别所述电压岛所在的芯片位置的装置;
用于确定并产生在其上要执行所述布线的所述电压岛顶部上的边界区的装置;以及
用于产生所述边界区内的电力网格的装置。
12、权利要求7的计算机系统,其中所述用于布线的装置还包括:
用于获得多个源点以形成group_A的装置;
用于基于每个源信息的连接划分所述group_A的装置;
用于获得在金属层面N和之上的上面的所述第二强壮电力网格的目标电力形态以构建group_B的装置;以及
对于所述group_A中的给定形态s,用于执行形态布线以进行从s向所述group_B内形态t的布线的装置。
13、一种用于在集成电路芯片内的电压岛上执行电源布线的方法,所述方法包括:
产生一组电力区段,从而为电压岛提供强壮电力网格;
确定所述强壮电力网格的边界区;以及
从多个电源至所述强壮电力网格进行多个最短距离连接的布线。
14、权利要求13的方法,其中通过确定所述电源的数目与对每个电源要进行的连接的数目的乘积,确定电力区段的数目。
15、权利要求13的方法,其中所述布线还包括:
获得一个芯片上相同电压极性的电源形态的计数;
识别所述电压岛所处的芯片位置;
确定并产生在其上要执行所述布线的所述电压岛顶部上的边界区;以及
产生所述边界区内的电力网格。
CNB2004800290278A 2003-10-09 2004-10-08 用于在集成电路芯片内的电压岛上执行电源布线的方法和设备 Expired - Fee Related CN100429664C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/605,569 2003-10-09
US10/605,569 US6861753B1 (en) 2003-10-09 2003-10-09 Method and apparatus for performing power routing on a voltage island within an integrated circuit chip

Publications (2)

Publication Number Publication Date
CN1906617A CN1906617A (zh) 2007-01-31
CN100429664C true CN100429664C (zh) 2008-10-29

Family

ID=34193454

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800290278A Expired - Fee Related CN100429664C (zh) 2003-10-09 2004-10-08 用于在集成电路芯片内的电压岛上执行电源布线的方法和设备

Country Status (6)

Country Link
US (2) US6861753B1 (zh)
EP (1) EP1671339A4 (zh)
JP (1) JP4456606B2 (zh)
KR (1) KR100850414B1 (zh)
CN (1) CN100429664C (zh)
WO (1) WO2005036606A2 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7984398B1 (en) * 2004-07-19 2011-07-19 Synopsys, Inc. Automated multiple voltage/power state design process and chip description system
EP1638145A1 (en) * 2004-09-20 2006-03-22 Infineon Technologies AG Embedded switchable power ring
WO2006062505A1 (en) * 2004-12-06 2006-06-15 Bae Systems Information And Electronic Systems Integration Inc. Asic device with multiple power supply voltages
DE102005009163B4 (de) * 2005-02-25 2013-08-14 Infineon Technologies Ag Halbleiterbauteil mit einem Halbleiterchip, der Signalkontaktflächen und Versorgungskontaktflächen aufweist, sowie Verfahren zur Herstellung des Halbleiterbauteils
JP5528662B2 (ja) 2007-09-18 2014-06-25 ソニー株式会社 半導体集積回路
TWI445150B (zh) * 2007-11-15 2014-07-11 Realtek Semiconductor Corp 電源供應網之規劃方法
US8161446B2 (en) * 2008-09-23 2012-04-17 Qualcomm Incorporated System and method of connecting a macro cell to a system power supply
US8407635B2 (en) * 2011-01-31 2013-03-26 Cadence Design Systems, Inc. System and method for automatic extraction of power intent from custom analog/custom digital/mixed signal schematic designs
CN102902347B (zh) * 2012-09-28 2015-08-19 宁波大学 一种片上系统的低功耗电压岛划分方法
CN103077278B (zh) * 2013-01-06 2015-11-18 宁波大学 一种片上系统的电压岛供电引脚分配方法
KR101538458B1 (ko) 2014-01-03 2015-07-23 연세대학교 산학협력단 3차원 매니코어 프로세서를 위한 전압섬 형성 방법
US10318694B2 (en) 2016-11-18 2019-06-11 Qualcomm Incorporated Adaptive multi-tier power distribution grids for integrated circuits
US10366199B2 (en) * 2017-04-11 2019-07-30 Qualcomm Incorporated Cell-based power grid (PG) architecture
US10235491B2 (en) * 2017-05-17 2019-03-19 International Business Machines Corporation Dynamic route keep-out in printed circuit board design
US10629533B2 (en) * 2018-03-13 2020-04-21 Toshiba Memory Corporation Power island segmentation for selective bond-out
CN111368493B (zh) * 2018-12-26 2023-03-14 杭州广立微电子股份有限公司 一种基于稀疏网格的自动版图布线生成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020170020A1 (en) * 2001-05-10 2002-11-14 International Business Machines Corporation Method and system of modifying integrated circuit power rails
US6493859B1 (en) * 2001-10-01 2002-12-10 International Business Machines Corporation Method of wiring power service terminals to a power network in a semiconductor integrated circuit
US6538314B1 (en) * 2002-03-29 2003-03-25 International Business Machines Corporation Power grid wiring for semiconductor devices having voltage islands

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6792582B1 (en) * 2000-11-15 2004-09-14 International Business Machines Corporation Concurrent logical and physical construction of voltage islands for mixed supply voltage designs
US6523150B1 (en) * 2001-09-28 2003-02-18 International Business Machines Corporation Method of designing a voltage partitioned wirebond package
US6779163B2 (en) * 2002-09-25 2004-08-17 International Business Machines Corporation Voltage island design planning
US6820240B2 (en) * 2002-09-25 2004-11-16 International Business Machines Corporation Voltage island chip implementation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020170020A1 (en) * 2001-05-10 2002-11-14 International Business Machines Corporation Method and system of modifying integrated circuit power rails
US6493859B1 (en) * 2001-10-01 2002-12-10 International Business Machines Corporation Method of wiring power service terminals to a power network in a semiconductor integrated circuit
US6538314B1 (en) * 2002-03-29 2003-03-25 International Business Machines Corporation Power grid wiring for semiconductor devices having voltage islands

Also Published As

Publication number Publication date
JP4456606B2 (ja) 2010-04-28
WO2005036606A2 (en) 2005-04-21
US20050120322A1 (en) 2005-06-02
KR100850414B1 (ko) 2008-08-04
US6861753B1 (en) 2005-03-01
WO2005036606A3 (en) 2006-09-21
US7234124B2 (en) 2007-06-19
CN1906617A (zh) 2007-01-31
JP2007508701A (ja) 2007-04-05
EP1671339A4 (en) 2007-11-21
KR20060132566A (ko) 2006-12-21
EP1671339A2 (en) 2006-06-21

Similar Documents

Publication Publication Date Title
CN100429664C (zh) 用于在集成电路芯片内的电压岛上执行电源布线的方法和设备
US20060253827A1 (en) Hierarchial semiconductor design
US20170308639A1 (en) Method for analyzing ir drop and electromigration of ic
JP4580006B2 (ja) 半導体集積回路のマスクレイアウト設計データの検証方法
JP2023546763A (ja) 高効率、高精度のチップ回路シミュレーション検証方法、システム、装置及び記憶媒体
US8234594B2 (en) Redundant micro-loop structure for use in an integrated circuit physical design process and method of forming the same
JP2010039817A (ja) 信頼性検証用ライブラリ生成方法及びそのプログラム
US6493859B1 (en) Method of wiring power service terminals to a power network in a semiconductor integrated circuit
US7409650B2 (en) Low power consumption designing method of semiconductor integrated circuit
US6484297B1 (en) 4K derating scheme for propagation delay and setup/hold time computation
CN101339578A (zh) 一种生成包含天线效应信息文件的方法
US7418675B2 (en) System and method for reducing the power consumption of clock systems
US7984394B2 (en) Design structure for a redundant micro-loop structure for use in an integrated circuit physical design process and method of forming the same
US11017138B2 (en) Timing analysis for parallel multi-state driver circuits
US20020087941A1 (en) Semiconductor device having embedded array
JP5309538B2 (ja) 半導体集積回路の設計方法
JPH0794587A (ja) 半導体装置、半導体設計方法及びその設計装置
JPH08278992A (ja) 半導体集積回路装置の設計方法
JP2000113024A (ja) ネットリスト生成方法及びネットリスト生成装置
JP3435432B2 (ja) 電気回路設計方法及び電気回路設計用cad装置
JPH10173054A (ja) 半導体集積回路の低消費電力化設計方法
JPH10134092A (ja) 半導体回路の回路入力方法
JP2002280455A (ja) 半導体集積回路設計方法及びコンピュータ・プログラム及びコンピュータ読み取り可能な記録媒体
JPH0822488A (ja) 配線データ生成方法、配線データ生成装置、シミュレーション方法及びシミュレーション装置
JPH06112315A (ja) 集積回路設計方法及び集積回路設計用cad装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081029

Termination date: 20201008