CN113270390A - 生物传感器系统封装件及其制造方法 - Google Patents

生物传感器系统封装件及其制造方法 Download PDF

Info

Publication number
CN113270390A
CN113270390A CN202110129692.XA CN202110129692A CN113270390A CN 113270390 A CN113270390 A CN 113270390A CN 202110129692 A CN202110129692 A CN 202110129692A CN 113270390 A CN113270390 A CN 113270390A
Authority
CN
China
Prior art keywords
layer
substrate
biosensor system
system package
cap structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202110129692.XA
Other languages
English (en)
Other versions
CN113270390B (zh
Inventor
张华伦
黄睿政
戴文川
黄毓杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113270390A publication Critical patent/CN113270390A/zh
Application granted granted Critical
Publication of CN113270390B publication Critical patent/CN113270390B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502707Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by the manufacture of the container or its components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502715Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by interfacing components, e.g. fluidic, electrical, optical or mechanical interfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0061Packages or encapsulation suitable for fluid transfer from the MEMS out of the package or vice versa, e.g. transfer of liquid, gas, sound
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00119Arrangement of basic structures like cavities or channels, e.g. suitable for microfluidic systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • G01N27/4145Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS specially adapted for biomolecules, e.g. gate electrode with immobilised receptors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/53Immunoassay; Biospecific binding assay; Materials therefor
    • G01N33/543Immunoassay; Biospecific binding assay; Materials therefor with an insoluble carrier for immobilising immunochemicals
    • G01N33/54366Apparatus specially adapted for solid-phase testing
    • G01N33/54373Apparatus specially adapted for solid-phase testing involving physiochemical end-point determination, e.g. wave-guides, FETS, gratings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/02Identification, exchange or storage of information
    • B01L2300/023Sending and receiving of information, e.g. using bluetooth
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/06Auxiliary integrated devices, integrated components
    • B01L2300/0627Sensor or part of a sensor is integrated
    • B01L2300/0636Integrated biosensor, microarrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/06Auxiliary integrated devices, integrated components
    • B01L2300/0627Sensor or part of a sensor is integrated
    • B01L2300/0645Electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0214Biosensors; Chemical sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/058Microfluidics not provided for in B81B2201/051 - B81B2201/054
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/015Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • B81B2207/091Arrangements for connecting external electrical signals to mechanical structures inside the package
    • B81B2207/094Feed-through, via
    • B81B2207/096Feed-through, via through the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Molecular Biology (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Hematology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Electrochemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Urology & Nephrology (AREA)
  • Biomedical Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Geometry (AREA)
  • Clinical Laboratory Science (AREA)
  • Medicinal Chemistry (AREA)
  • Microbiology (AREA)
  • Biotechnology (AREA)
  • Food Science & Technology (AREA)
  • Cell Biology (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)
  • Automatic Analysis And Handling Materials Therefor (AREA)
  • Auxiliary Devices For And Details Of Packaging Control (AREA)
  • Container Filling Or Packaging Operations (AREA)
  • Investigating Or Analyzing Materials By The Use Of Electric Means (AREA)

Abstract

生物传感器系统封装件包括:在具有正面和背面的半导体层中的晶体管结构,该晶体管结构包括沟道区;在半导体层的正面上的多层互连(MLI)结构,晶体管结构电连接到MLI结构;在MLI结构上的载体衬底;第一贯穿衬底通孔(TSV)结构,延伸穿过载体衬底并且被配置为提供MLI结构与单独管芯之间的电连接;在半导体层的背面上的埋氧(BOX)层,其中,埋氧层在沟道区的背面上具有开口,并且界面层在沟道区上方覆盖背面;以及附接到埋氧层的微流体沟道帽结构。本申请的实施例还涉及制造生物传感器系统封装件的方法。

Description

生物传感器系统封装件及其制造方法
技术领域
本申请的实施例涉及生物传感器系统封装件及其制造方法。
背景技术
生物传感器是用于感测和检测生物分子的器件,并基于电子、电化学、光学和机械检测原理进行操作。包括晶体管的生物传感器是电感测生物实体或生物分子的电荷、光子和机械特性的传感器。可通过检测生物实体或生物分子本身或通过特定反应物与生物实体/生物分子之间的相互作用和反应来执行检测。此类生物传感器可使用半导体工艺来制造,可快速地转换电信号,并且可容易地应用于集成电路(IC)和微机电系统(MEMS)。
发明内容
本申请的一些实施例提供了一种生物传感器系统封装件,包括:晶体管结构,在具有正面和背面的半导体层中,所述晶体管结构包括沟道区;多层互连(MLI)结构,在所述半导体层的所述正面上,所述晶体管结构电连接到所述多层互连结构;载体衬底,在所述多层互连结构上;第一贯穿衬底通孔(TSV)结构,延伸穿过所述载体衬底并且被配置为提供所述多层互连结构与单独管芯之间的电连接;埋氧(BOX)层,在所述半导体层的所述背面上,其中,所述埋氧层在所述沟道区的所述背面上具有开口,并且界面层在所述沟道区上方覆盖所述背面;以及微流体沟道帽结构,附接到所述埋氧层。
本申请的另一些实施例提供了一种生物传感器系统封装件,包括:晶体管结构,在具有正面和背面的半导体层中,所述晶体管结构包括沟道区;多层互连(MLI)结构,在所述半导体层的所述正面上,所述多层互连结构在第一金属(M1)层处包括第一导电线和第二导电线,所述晶体管结构电连接到所述多层互连结构;载体衬底,在所述多层互连结构上;埋氧(BOX)层,在所述半导体层的所述背面上,其中,所述埋氧层在所述沟道区的所述背面上具有开口、第一接合沟槽和第二接合沟槽,并且其中,界面层在所述沟道区上方覆盖所述背面;微流体沟道帽结构,附接到所述第一导电线和所述第二导电线;以及第二贯穿衬底通孔(TSV)结构,延伸穿过所述微流体沟道帽结构并且被配置为提供所述多层互连结构与单独管芯之间的电连接。
本申请的又一些实施例提供了一种制造生物传感器系统封装件的方法,包括:提供衬底,所述衬底包括具有正面和背面的半导体层、所述背面处的埋氧(BOX)层和所述背面处的体硅层;在所述衬底上形成晶体管结构,其中,所述晶体管结构的沟道区在所述半导体层中;在所述半导体层的所述正面上形成多层互连(MLI)结构,其中,所述多层互连结构电连接到所述晶体管结构;将载体衬底附接到所述多层互连结构;去除所述体硅层;蚀刻所述埋氧层以在所述沟道区上方在所述背面处形成开口;在所述沟道区上方在所述背面上沉积界面层;制造微流体沟道帽结构;将所述微流体沟道帽结构接合到所述埋氧层;减薄所述载体衬底;产生延伸穿过所述载体衬底的第一贯穿衬底通孔(TSV)结构,所述第一贯穿衬底通孔结构电连接到所述多层互连结构;以及减薄所述微流体沟道帽结构以形成用于流入和流出所述流体样品的至少一个端口。
附图说明
当与附图一起阅读时,根据以下详细描述可最好地理解本发明的各方面。应注意,根据行业中的标准实践,各种部件未按比例绘制。实际上,为论述清楚,各种部件的尺寸可任意增加或减少。
图1A是根据一些实施例的示例生物传感器系统的框图。
图1B是根据一些实施例的在图1A的生物传感器系统中使用的示例生物传感器的示意图。
图2是示出根据一些实施例的生物传感器系统封装件的截面图。
图3A和图3B是示出根据一些实施例的制造图2的生物传感器系统封装件的方法的流程图。
图3C是示出根据一些实施例的图3A和图3B的方法的步骤的流程图。
图4至图24是示出根据一些实施例的根据图3A和图3B的方法的一个或多个步骤所构造的生物传感器系统封装件的截面图。
图25A和图25B是示出根据一些实施例的制造生物传感器系统封装件的另一方法的流程图。
图26至图30是示出根据一些实施例的根据图25A和图25B的方法的一个或多个步骤所构造的生物传感器系统封装件的截面图。
图31是示出根据一些实施例的生物传感器系统封装件的截面图。
图32A和图32B是示出根据一些实施例的制造图31的生物传感器系统封装件的方法的流程图。
图32C是示出根据一些实施例的图32A至图32B的方法的步骤的流程图。
图33至图47是示出根据一些实施例的根据图32A和图32B的方法的一个或多个步骤所构造的生物传感器系统封装件的截面图。
图48是示出根据一些实施例的生物传感器系统封装件的截面图。
具体实施方式
以下公开内容提供用于实施提供的主题的不同特征的许多不同实施例或示例。以下将描述元件和布置的特定实例以简化本发明。当然,这些仅仅是实例,并非旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可包括第一部件与第二部件直接接触的实施例,也可包括形成在第一部件与第二部件之间的附加部件使得第一部件与第二部件不直接接触的实施例。另外,本发明可在多个实例中重复参考数字和/或字符。这种重复是为了简化和清楚的目的,并且其本身不指示所论述的各个实施例和/或配置之间的关系。
而且,为了便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了各图中所描绘的取向之外,空间相对术语还旨在涵盖器件在使用或操作中的不同取向。装置可其他方式进行取向(旋转90度或者处于其他方向),而其中所使用的空间相关描述符可做相应解释。
通常,本文所用的术语“bioFET”是指包括固定的捕获试剂层的场效应晶体管(FET),这些捕获试剂用作表面受体以检测生物学来源的目标分析物的存在。根据一些实施例,bioFET是具有半导体换能器的场效应传感器。bioFET的一个优势是无标签操作的前景。具体地,bioFET可避免昂贵且费时的标记操作,诸如使用荧光或放射性探针标记分析物。用于通过bioFET检测的分析物通常是生物来源的,诸如但不限于蛋白质、碳水化合物、脂质、组织碎片或其部分。bioFET可以是的FET传感器的也可检测任何化合物的更广泛部分(在本领域中称为“ChemFET”)或包括诸如质子或金属离子等离子的任何其他元素(在本领域中称为“ISFET”)。本发明适用于所有类型的基于FET的传感器(“FET传感器”)。
如本文所用,“捕获试剂”是能够结合靶标分析物或靶标试剂的分子或化合物,其可直接或间接地附接到基本固态的材料。捕获试剂可以是化学试剂,并且具体是可存在天然存在的目标分析物(例如,抗体、多肽、DNA、RNA、细胞、病毒等)或可为其制备目标分析物的任何物质,并且捕获试剂可在测定中结合到一种或多种目标分析物。
如本文所用,“目标分析物”是要使用本发明来在测试样品中检测的物质。目标分析物可以是化学物质,并且具体是可存在天然存在的捕获试剂(例如,抗体、多肽、DNA、RNA、细胞、病毒等)或可为其制备目标分析物的任何物质,并且目标分析物可在测定中结合到一种或多种捕获试剂。“目标分析物”还包括任何抗原性物质、抗体或其组合。目标分析物可包括蛋白质、肽、氨基酸、碳水化合物、激素、类固醇、维生素、药物,包括出于治疗目的而施用的药物以及出于非法目的而施用的药物、细菌、病毒、以及任何以上物质的代谢物或抗体。
如本文所用,“测试样品”是指包含要使用本发明来检测和测定的目标分析物的组分、溶液、物质、气体或液体。除了目标分析物之外,测试样品还可包括其他成分,可具有液体或气体的物理属性,并且可具有任何大小或体积,包括例如移动的液体流或气体流。测试样品可包含除目标分析物以外的任何物质,只要其他物质不干扰目标分析物与捕获试剂的结合或第一结合构件与第二结合构件的特异性结合即可。测试样品的实例包括但不限于天然和非天然样品或其组合。天然存在的测试样品可以是合成的或合成的。天然存在的测试样品包括从受试者体内或体内任何地方分离出的体液或体液、包括但不限于血液、血浆、血清、尿液、唾液或痰、脊髓液、脑脊液、胸膜液、乳头抽吸、淋巴液、呼吸道、肠和泌尿生殖道液、泪液、唾液、母乳、淋巴系统液、精液、脑脊髓液、器官内液、腹水、肿瘤囊液、羊水液体及其混合物、以及环境样品(诸如地下水或废水)、土壤提取物、空气和农药残留或与食品有关的样品。
受检测物质可包括例如核酸(包括DNA和RNA)、激素、不同的病原体(包括对宿主造成疾病或疾病的生物制剂,诸如病毒(例如H7N9或HIV)、原生动物(例如,引起疟原虫的疟疾、或细菌(例如,大肠杆菌或结核分枝杆菌)、蛋白质、抗体、各种药物或治疗剂或其他化学或生物物质、包括氢或其他离子、非离子分子或化合物、多糖、小的化学化合物(诸如化学组合库成员)等。检测或确定的参数可包括但不限于pH值改变,乳糖改变,浓度改变,每单位时间的颗粒,其中,流体在器件上流过一段时间以检测颗粒(例如,稀疏的颗粒)和其他参数。
如本文所用,当相对于例如捕获试剂使用时,术语“固定”包括将分子水平上的捕获试剂基本上附接于表面。例如,可使用包括非共价相互作用(例如,静电力、范德华力和疏水性界面的脱水)的吸附技术和官能团或接头促进作用的共价结合技术来将捕获试剂附接到基质材料的表面。将捕获试剂固定到衬底材料的表面可基于衬底表面的特性、携带捕获试剂的介质以及捕获试剂的特性。在一些情况下,可首先修饰衬底表面以具有接合到该表面的官能团。官能团然后可结合到生物分子或生物或化学物质以在其上固定上该等分子或物质。
由生物传感器收集的数据需要被发送到单独芯片(即管芯)上的存储器件(诸如动态随机存取存储器(DRAM))以进行数据处理。生物传感器芯片和DRAM芯片位于印刷电路板(PCB)上的不同位置处。对于更高分辨率的生物传感器阵列(例如,1024乘1024),生物传感器芯片与DRAM之间的路由会限制数据传输速率和带宽,并增加功耗和数据失真。增加生物传感器与DRAM之间的数据信号路径的带宽需要减少电阻、电容、电感、噪声和信号路径的失真。因此,期望减小生物传感器与DRAM之间的信号路径的物理距离,以减少与路径的电阻、电容和电感相关的功率和带宽问题。然而,如果生物传感器芯片和DRAM分别位于PCB板上,则减少信号路径存在物理限制。
根据一些实施例,提供晶圆级封装件以将生物传感器(或生物传感器阵列)与微流体沟道帽结构集成。微流体沟道帽结构是使用互补金属氧化物半导体(CMOS)兼容工艺流程来制造的。与管芯级封装件相比,这是一种可扩展且具有成本效益的制造形式。通过使用在芯片/管芯之间交接的贯穿硅通孔(TSV)、生物传感器阵列、DRAM与处理芯片之间的信号路径被最小化。这减小芯片/管芯之间的导电路径中的电阻、电容和电感,这进而减少功耗、延迟、噪声和失真并增加带宽。这允许以快得多的速度分析来自高分辨率生物传感器阵列的数据。在一个实施例中,生物传感器系统封装件可连接到正面处的一个单独芯片(使用穿过载体衬底的第一TSV结构)。在另一实施例中,生物传感器系统封装件可连接到背面处的另一单独芯片(使用穿过帽结构衬底的第二TSV结构)。在又另一实施例中,生物传感器系统封装件可既连接到正面处的一个单独芯片(使用穿过载体衬底的第一TSV结构)又连接到背面处的另一单独芯片(使用穿过帽结构衬底的第二TSV结构)。
本发明的实施例可增加生物传感器分析器系统的集成化和小型化。本发明的实施例还可通过将生物传感器(或生物传感器阵列)与支撑电子器件直接封装在一起来减少PCB所需的空间。另外,可在兼容工艺流程中将微流体沟道与生物传感器(或生物传感器阵列)一起直接制造。
图1A是根据一些实施例的示例生物传感器系统100的框图。图1B是根据一些实施例的在图1A的生物传感器系统100中使用的实例生物传感器103的示意图。如图1A所示,示例生物传感器系统100可尤其包括传感器阵列102、流体传送系统104、读出电路106和控制器108。
传感器阵列102可具有用于检测生物或化学分析物的至少一个感测元件。传感器阵列102可包括生物传感器的阵列(例如,图1B所示的生物传感器103),其中,该阵列中的一个或多个生物传感器被功能化以检测特定目标分析物。可使用不同捕获试剂来对不同的生物传感器进行功能化,以检测不同目标分析物。生物传感器可布置成多个行和列,从而形成生物传感器的2维阵列。在一些实施例中,使用不同的捕获试剂来对每行生物传感器进行功能化。在一些实施例中,使用不同的捕获试剂来对每列生物传感器进行功能化。在一些实施例中,使用不同的捕获试剂来对一定范围的生物传感器的行和列进行功能化。下文参考图1B提供关于示例生物传感器103的更多细节。
流体传送系统104可将一个或多个流体样品传送到传感器阵列102。流体传送系统104可以是定位在传感器阵列102上方的微流体阱,以在传感器阵列102上方容纳流体。流体传送系统104还可包括用于将各种流体传送到传感器阵列102的微流体沟道。流体传送系统104可包括设计成将流体传送到传感器阵列102的任何数量的阀、泵、腔室、沟道。
根据一些实施例,提供读出电路106以测量来自传感器阵列102中的传感器的信号并生成指示目标溶液中存在的某些分析物的量的可量化传感器信号。
控制器108可向传感器阵列102和读出电路106两者发送和从传感器阵列102和读出电路106两者接收电信号,以执行生物或化学感测测量。控制器108还可将电信号发送到流体传送系统104,以例如致动一个或多个阀、泵或电动机。控制器108可包括一个或多个处理器件,诸如微处理器,并且可以是可编程的以控制读出电路106、传感器阵列102和/或流体传送系统104的操作。
如图1B所示,示例生物传感器103可尤其包括流体门112、源极区114、漏极区116、感测膜118、沟道区120。流体传送系统104将流体122施加在感测膜118上方。流体122可包含未示出的分析物。感测膜118可以是将流体122与沟道区120分离的电和化学绝缘层。感测膜118可尤其包括捕获试剂。捕获试剂对分析物具有特异性,并能够结合目标分析物或目标试剂。当结合分析物时,发生感测膜118的表面中的静电势改变,这进而导致生物传感器103的静电门控作用,并且发生源极与漏极之间的电流Ids 126的可测量改变。施加到流体门112的电压也可改变Ids 126。
晶圆级封装件用于将生物传感器103或生物传感器阵列102与微流体沟道集成在一起以形成封装件。另外,芯片(即管芯)通过贯穿硅通孔(TSV)连接到封装件的顶部或底部。下文提供关于晶圆级封装件和TSV连接的更多详细信息。
图2是示出根据一些实施例的生物传感器系统封装件200的截面图。图3A和图3B是示出根据一些实施例的制造图2的生物传感器系统封装件的方法300的流程图。图4至图24是示出根据一些实施例的根据图3A和图3B的方法的一个或多个步骤所构造的生物传感器系统封装件的截面图。
如图2所示,生物传感器系统封装件200具有正面(F)和背面(B)。在图2所示的实例中,生物传感器系统封装件200尤其包括:埋氧(BOX)层206和半导体层208、晶体管结构(即FET)210、多级互连(MLI)结构212、载体衬底220、TSV结构246、焊料凸块248、单独的芯片/管芯(例如,RAM和数据处理芯片)250、沟槽222、界面层(例如,高k材料层224)和微流体沟道帽结构228。使用在正面(F)处的焊料凸块接合将单独芯片250连接到TSV结构246。微流体沟道帽结构228附接到背面(B)。微流体沟道帽结构228尤其包括帽结构230、腔室244、入口/出口端口240’和可选的高k氧化物材料层242。腔室244可容纳要测试的流体样品。入口/出口端口240’可通过管252连接到泵和/或储存器,以用于流入和流出流体样本。下文将参考图3A至图3C和图4至图24描述生物传感器系统封装件200的元件的细节。
如图3A和图3B所示,方法300用于制造生物传感器系统封装件。图3C是示出根据一些实施例的方法300的步骤320的流程图。应注意,对于方法的其他实施例,可在方法300之前、期间和之后提供附加步骤,并且可替换或消除以下描述的一些步骤。此外,应注意,方法300是CMOS兼容的工艺流程。
方法300在步骤302处开始,在该步骤中提供衬底。衬底可以是半导体衬底(例如,晶圆)。半导体衬底可以是硅衬底。可选地,衬底可包括另一种基本半导体,诸如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其组合。在图3A至图3B和图4至图38所示的实施例中,衬底是绝缘体上半导体(SOI)衬底202。图4所示的SOI衬底202包括体硅层204、埋氧(BOX)层206和半导体层208(即,有源层208)。埋氧层206可通过诸如通过注入氧的分离(SIMOX)等工艺和/或其他合适工艺来形成。半导体层208可包括掺杂区,诸如p阱和n阱。
然后该方法进行至步骤304,在该步骤中在衬底上形成晶体管结构。晶体管结构(即,FET)可包括栅极结构、源极区、漏极区以及介于源极区与漏极区之间的沟道区。如图4中的实例所示,FET 210的源极、漏极和/或沟道区可形成在半导体层208中的有源区上。FET210可以是n型FET(nFET)或p型FET(pFET)。例如,取决于FET配置,源极/漏极区可包括n型掺杂剂或p型掺杂剂。栅极结构可包括栅介电层、栅电极层和/或其他合适的层。在实施例中,栅电极是多晶硅。其他示例性栅电极包括金属栅电极,该金属栅电极包括诸如Cu、W、Ti、Ta、Cr、Pt、Ag、Au等材料;合适的金属化合物,如TiN、TaN、NiSi、CoSi;其组合;和/或其他合适的导电材料。在实施例中,栅极电介质是氧化硅。其他示例性栅极电介质包括氮化硅、氧氮化硅、具有高介电常数(高k)的电介质和/或其组合。高k材料的实例包括硅酸铪、氧化铪、氧化锆、氧化铝、氧化钽、二氧化铪-氧化铝(HfO2-Al2O3)合金或其组合。可使用典型CMOS工艺来形成FET 210,这些工艺诸如光刻、离子注入扩散;沉积包括物理气相沉积(PVD)、金属蒸发或溅射、化学气相沉积(CVD)、等离子增强化学气相沉积(PECVD)、大气压化学气相沉积(APCVD)、低压CVD(LPCVD)、高密度等离子CVD(HDPCVD)、原子层沉积(ALD)、旋涂;蚀刻,包括湿蚀刻、干蚀刻和等离子蚀刻;和/或其他合适的CMOS工艺。
然后,方法300进行至步骤306,在该步骤中在晶体管结构上方形成多层互连(MLI)结构。MLI结构可包括导电线、导电垂直互连通路(通孔)和/或插入介电层(例如,层间介电(ILD)层)。MLI结构可提供到晶体管(即,FET)的物理和电连接,如上文参考步骤304描述。导电线可包括铜、铝、钨、钽、钛、镍、钴、金属硅化物、金属氮化物、多晶硅、其组合和/或可能包括一个或多个层或内衬的其他材料。插入介电层(例如,ILD层)可包括二氧化硅、氟化硅玻璃(FGS)、SILK(密歇根州的Dow Chemical的产品),BLACK DIAMOND(Santa Clara,Calif.的Applied Materials的产品)和/或其他合适的绝缘材料。MLI结构可通过CMOS制造中典型的合适工艺形成,诸如CVD、PVD、ALD、镀、旋涂和/或其他工艺。
如图4中的实例所示,MLI结构212布置在衬底202上以及FET 210上方。MLI结构212包括通过导电通孔或插塞216连接的多条导电线214。在一个实施例中,导电线214包括铝和/或铜。在一个实施例中,通孔或插塞216包括钨。在另一实施例中,通孔或插塞216包括铜。在一个实施例中,插入介电层218布置在包括介于MLI结构212的导电部件之间的衬底202上。插入介电层218可以是ILD层。在另一实施例中,介电层218是单个ILD层。在一个实施例中,插入介电层218中的每个包括氧化硅。MLI结构212可提供与FET 210的栅极和/或源极/漏极的电连接。如图4中的实例所示,MLI结构212在正面(F)处,而衬底202在背面(B)处。
然后,方法300进行至步骤308,在该步骤中将载体衬底附接到正面(F)。换句话说,载体衬底附接到MLI结构。载体衬底可在后续步骤期间保护正面(F)。在一个实施例中,载体衬底接合到MLI结构。在另一实施例中,将载体衬底接合到形成在MLI结构上的钝化层。可使用熔融、扩散、低共熔和/或其他合适的接合方法来附接载体衬底。用于载体衬底的示例性组合物包括硅、玻璃和石英。应注意,其他组合物也是可能的并且处于本发明的范围内。如图5中的实例所示,载体衬底220附接到MLI结构212。在一些实施例中,载体衬底220可包括诸如互连部件、晶圆接合位点、限定的空腔和/或其他合适的部件的功能性。
然后,方法300进行至步骤310,在该步骤中翻转晶圆。如图6所示,背面(B)在顶部上。换句话说,体硅层204在顶部上。然后,方法300进行至步骤312,在该步骤中去除体硅层204。去除可通过机械或化学手段完成。例如,机械手段包括抛光或研磨,诸如化学机械抛光(CMP)。化学方法包括湿蚀刻,诸如HF/硝酸/乙酸(HNA)或氢氧化四甲基铵(TMAH),或包括干蚀刻,包括等离子和非等离子蚀刻。如图7中的实例所示,去除图6中的体硅层204。埋氧层206在背面(B)的顶部上。
然后,方法300进行至步骤314,在该步骤中对埋氧层进行图案化以在背面(B)处形成开口。在埋氧层上形成光刻胶图案。在一些实施例中,光刻胶图案保护一些埋氧层免受后续非等离子蚀刻,以暴露生物传感器系统封装件200的背面(B)。具体地,光刻胶图案保护一些埋氧层免受后续非等离子蚀刻,以暴露在步骤304处形成的晶体管结构的有源区。非等离子蚀刻可以是不涉及等离子的湿蚀刻或干蚀刻。在一些实施例中,可采用两步蚀刻工艺来在背面(B)处形成开口。第一蚀刻步骤包含等离子,并且第二蚀刻步骤是非等离子蚀刻。如图8中的实例所示,非等离子蚀刻形成沟槽222,该沟槽的底部暴露FET 210的沟道区219。非等离子蚀刻用于避免在沟道区219的暴露表面处的等离子体诱发损伤(PID)。在非限制性实例中,沟槽222的高度可范围介于0.3μm到1μm之间,而沟槽222的宽度可范围介于0.5μm到200μm之间(在一些极端情况下)。在一些实施例中,沟槽222的侧壁轮廓是基本笔直的。在非等离子蚀刻之后,去除光刻胶图案。可使用无PID的光刻胶去除工艺,诸如剥离和臭氧灰化。因为沟槽222的暴露表面和FET 210的沟道区的暴露表面易受等离子体诱发损伤(PID)影响,所以某些等离子灰化工艺可能不用于去除光刻胶图案。
然后,方法300进行至步骤316。在步骤316处,沉积界面层。在一个实施例中,界面层是高k材料层。界面层对于生物分子或生物实体结合是兼容的(例如,友好的)。例如,界面层可包括捕获试剂层,该捕获试剂层是能够结合流体样品中的目标分析物的捕获试剂层。在一些实施例中,界面层包括多个层。例如,界面层可包括介电材料(例如,高k材料)、导电材料和/或用于保持受体的其他合适的材料。示例性界面材料包括高k介电膜、金属、金属氧化物、电介质和/或其他合适的材料。作为另一实例,示例性界面层材料包括HfO2、Ta2O5、Pt、Au、W、Ti、Al、Cu、此类金属的氧化物、SiO2、Si3N4、Al2O3、TiO2、TiN、ZrO2、SnO、SnO2;和/或其他合适的材料。可使用诸如例如物理气相沉积(PVD)(溅射)、化学气相沉积(CVD)、等离子增强化学气相沉积(PECVD)、大气压化学气相沉积(APCVD)、低压CVD(LPCVD)、高密度等离子CVD(HDPCVD)或原子层CVD(ALCVD)等CMOS工艺来形成界面层。在界面层上方形成光刻胶图案以保护界面层的部分。FET沟道区上方的部分受到保护。在后续蚀刻工艺中去除界面层的未受保护的部分。蚀刻工艺可包括包括等离子蚀刻的任何已知的蚀刻工艺,因为易受PID影响的部分受到保护。界面层完全覆盖沟道区,并且可部分地覆盖源极区和漏极区。可基于FET设计和界面层的面积要求而调整源极和漏极区的部分覆盖范围。在一些实施例中,界面层可不被图案化和蚀刻,并且保留在FET的相应表面上方。
如图8中的实例所示,在沟槽222的暴露表面和FET 210的有源区的暴露表面上形成界面层224(例如,高k材料层)。另外,在埋氧层206的整个表面上方沉积界面层224。
可选地,在步骤318处,在暴露一些接合位点的同时沉积界面层。接合位点用于将微流体沟道帽结构接合到背面(B),这将在下文在步骤322处详细描述。应注意,是否需要接合位点取决于具体接合要求。类似于步骤316,可使用诸如例如PVD(溅射)、CVD、PECVD、APCVD、LPCVD、HDPCVD或ALCVD等CMOS工艺来形成界面层。在界面层上方形成光刻胶图案以保护界面层的部分,并且不保护接合位点。在后续蚀刻工艺中去除界面层的未受保护的部分。蚀刻工艺可包括包括等离子蚀刻的任何已知的蚀刻工艺,因为易受PID影响的部分受到保护。在蚀刻之后,以无PID的光刻胶去除工艺去除光刻胶。
如图9中的实例所示,在沟槽222的暴露表面和FET 210的有源区的暴露表面上形成界面层224(例如,高k材料层),同时暴露两个接合位点226。换句话说,除了两个接合位点226之外,埋氧层206被界面层224覆盖。应注意,接合位点的形状可根据微流体沟道帽结构的形状而变化。
然后,方法300进行至步骤320,在该步骤中制造微流体沟道帽结构。图3C是示出根据一些实施例的方法300的步骤320的流程图。步骤320是CMOS兼容的工艺流程。在步骤352处,提供帽结构衬底。帽结构衬底可以是硅衬底,但可采用其他合适的材料。如图10中的实例所示,提供硅衬底230。
在步骤354处,对帽结构衬底进行图案化和蚀刻以预限定全局腔区。全局腔区对应于微流体沟道。在帽结构衬底上形成光刻胶图案。光刻胶图案保护一些帽结构衬底免受后续蚀刻以预限定全局腔区。在对帽结构衬底进行图案化之后,通过蚀刻帽结构衬底来预限定全局腔区。蚀刻工艺可以是湿蚀刻,诸如HF/硝酸/乙酸(HNA)或氢氧化四甲基铵(TMAH),或包括干蚀刻,包括等离子和非等离子蚀刻。之后,去除光刻胶。如图11中的实例所示,在帽结构衬底230的顶面处预限定全局腔区232。
在步骤356处,将硬掩模沉积在帽结构衬底的接合区域上。在一些实施例中,帽结构衬底的接合区域对应于步骤318处的埋氧层上的接合位点。具体地,帽结构衬底的接合区域与埋氧层上的接合位点交接,并且微流体沟道帽结构接合到埋氧层(或沉积并图案化在埋氧层上的任何适当的中间接合层),这下文将在步骤322处向西描述。硬掩模可保护接合区域免受后续蚀刻工艺。在一些实施例中,硬掩模可由氧化物形成。在一些实施例中,硬掩模可由多晶硅形成。使用诸如CVD等合适工艺来形成硬掩模。在非限制性实例中,硬掩模的厚度范围介于0.3μm到1μm。如图12中的实例所示,硬掩模236(例如,氧化物硬掩模)被沉积在帽结构衬底230的接合区域234上。硬掩模236可保护接合区域234免受后续蚀刻工艺。
在步骤358处,对全局腔区的某些区进行图案化以形成入口/出口沟道。在全局腔区的硬掩模上形成光刻胶图案。光刻胶图案保护硬掩模和一些全局腔区免受后续蚀刻以形成入口/出口沟道。随后,蚀刻帽结构衬底以形成入口/出口沟道。蚀刻工艺可以是湿蚀刻,诸如HF/硝酸/乙酸(HNA)或氢氧化四甲基铵(TMAH),或包括干蚀刻,包括等离子和非等离子蚀刻。之后,去除光刻胶。如图13中的实例所示,光刻胶图案238在硬掩模236和一些全局腔区232上。蚀刻全局腔区232的暴露部分以形成入口/出口沟道240。然后去除光刻胶图案238,并且结构如图14中的实例所示。暴露包括入口/出口沟道240的整个全局腔区232,而接合区域234被硬掩模236覆盖。
在步骤360处,对整个全局腔区进行毯式蚀刻。具体地,将包括入口/出口沟道的整个全局腔区均匀地回蚀一定深度,以形成微流体沟道帽结构的腔室。另一方面,在毯式蚀刻期间,保护由硬掩模覆盖的接合区域。毯式蚀刻工艺可以是任何合适的蚀刻工艺,诸如湿蚀刻或干蚀刻,包括等离子蚀刻和非等离子蚀刻。如图15中的实例所示,帽结构衬底230的整个全局腔区232(包括入口/出口沟道240)被蚀刻预定蚀刻深度ED。预定蚀刻深度ED对应于微流体沟道帽结构228的腔室244的期望高度。
可选地,在步骤362处,在全局腔区和硬掩模上沉积高k氧化物材料层。取决于应用,步骤362是可选的。可使用诸如例如PVD(溅射)、CVD、PECVD、APCVD、LPCVD、HDPCVD或ALCVD等CMOS工艺来来形成高k氧化物材料层。在一个非限制性实例中,高k氧化物材料层具有2nm到3nm的厚度。如图16中的实例所示,高k氧化物材料层242沉积在全局腔区232(因此腔室244)和硬掩模236上。高k氧化物材料层242覆盖腔室244的底部和侧壁、入口/出口沟道的底部和侧壁以及硬掩模236。
可选地,在步骤364处,去除硬掩模的顶部上的界面层。在一个实施例中,可通过喷涂工艺喷涂光刻胶喷涂机以覆盖全局腔区。当去除硬掩模上的高k氧化物材料层时,光刻胶喷涂机保护高k氧化物材料层。可通过适当的工艺(诸如等离子蚀刻)去除硬掩模的顶部上的界面层。在示例等离子蚀刻工艺中,提供包含氧气、含氟材料和惰性气体的气体的混合物,并且在高k氧化物材料层处(脉冲)喷射气体混合物的高速辉光放电(等离子)流。喷涂工艺用于在具有较深部件的区上方涂覆光刻胶。在喷涂工艺中,将光刻胶的细小液滴沉积到结构上。喷涂光刻胶液滴的角度允许光刻胶进入深沟槽和侧壁。
在步骤366处,去除硬掩模。可通过任何合适的工艺去除硬掩模。在一个实施例中,通过湿蚀刻去除硬掩模。在一些实施例中,湿蚀刻是含氟蚀刻,诸如稀氢氟酸(HF)。在一些实施例中,湿蚀刻是氢氧化氨/过氧化氢蚀刻。湿蚀刻去除硬掩模,而基本上不去除或伤害高k氧化物材料层。如图17中的实例所示,去除硬掩模236上的可选高k氧化物材料层242和硬掩模236两者。接合区域234被暴露。全局腔区232和入口/出口沟道240的底部和侧壁被高k氧化物材料层242覆盖。如此,制造微流体沟道帽结构228。
再次参考图3A,方法300进行至步骤322,在该步骤中将微流体沟道帽结构接合到生物传感器系统封装件的背面。具体地,微流体沟道帽结构接合到埋氧层。在一些实施例中,埋氧层的接合位点与帽结构衬底的接合区域接触。在其他实施例中,在埋氧层上沉积并图案化的中间接合层与帽结构衬底的接合区域交接。可使用熔融接合、共晶接合、阳极接合和/或其他合适的接合方法来将微流体沟道帽结构接合到生物传感器系统封装件的背面。熔融接合利用温度和压力来连接半导体材料。在一个非限制性实例中,在室温熔融接合工艺中,接合器器件将微流体沟道帽结构和生物传感器系统封装件的背面压迫在一起。在这之后进行退火工艺以提高接合强度。在共晶接合中,利用可产生共晶体系的中间金属层。共晶金属是在具体组分和温度下不经过两相平衡而直接从固态转变为液态或者从液态转变为固态的合金。由于共晶温度可能远低于两个或更多个纯元素的熔化温度,因此共晶接合的益处在于处理温度低,最终组装时产生的合应力低,接合强度高,制造良率高且可靠性良好。在阳极接合中,将玻璃密封到硅或金属,而不需引入中间层。如图18中的实例所示,微流体沟道帽结构228接合到生物传感器系统封装件200的背面(B)。具体地,微流体沟道帽结构228接合到埋氧层206。埋氧层206的接合位点226与帽结构衬底230的接合区域234交接。
然后,方法300进行至步骤324,在该步骤中翻转晶圆。如图19中的实例所示,位于生物传感器系统封装件200的正面(F)处的载体衬底220现在顶部上。然后,方法300进行至步骤326,在该步骤中减薄载体衬底。在一个实例中,通过研磨减薄载体衬底。研磨工艺可包括旋转盘,该旋转盘保持衬有适当研磨材料的生物传感器系统封装件。应注意,也可采用诸如CMP等其他工艺。如图20所示,载体衬底220已经减薄。根据下文将论述的步骤328而选择载体衬底的厚度。
然后,方法300进行至步骤328,在该步骤中穿过载体衬底产生贯穿衬底通孔(TSV)结构并将其连接到MLI结构。TSV用于为生物传感器系统封装件200提供电连接并用于散热。如图21中的实例所示,通过载体衬底220产生TSV结构246并将其连接到MLI结构212。尽管在图21的实例中仅示出一个TSV结构246,但可形成多于一个TSV结构以通过载体衬底220。TSV结构246包括衬垫246a、扩散势垒层246b和导电材料246c。在一个实施例中,通过以下操作形成TSV结构246。首先,通过一个或多个蚀刻工艺形成延伸到MLI结构212的导电线214的TSV开口。在形成TSV开口之后,在TSV开口的侧壁上形成衬垫246a以用作隔离层,使得TSV结构246的导电材料246c与载体衬底220不彼此直接接触。之后,在衬垫246a上和TSV开口的底部上共形地形成扩散势垒层246b。扩散势垒层246b用于防止将稍后形成的导电材料246c迁移到不期望的区。在形成扩散势垒层246b之后,使用导电材料246c以填充到TSV开口中。之后,通过诸如化学机械抛光(CMP)工艺等平坦化工艺去除在TSV开口的外侧上的过多衬垫246a、扩散势垒层246b和导电材料246c,尽管可使用任何合适的去除工艺。
衬垫246a由诸如氧化物或氮化物等绝缘材料制成。可通过使用PECVD工艺或其他适用工艺来形成衬垫246a。衬垫246a可以是单层或多层。在一些非限制性实例中,衬垫246a具有介于约100到约5000的范围内的厚度。扩散势垒层246b由Ta、TaN、Ti、TiN或CoW制成。在一些实施例中,扩散势垒层246b通过PVD工艺形成。在一些实施例中,扩散势垒层246b通过镀形成。在一些实施例中,导电材料246c由铜、铜合金、铝、铝合金或其组合制成。可选地,可使用其他适用的材料。可在不同的情况下选择TSV结构246的宽度、深度和纵横比。由于在步骤326处减薄载体衬底220,所以TSV结构246具有相对较小的纵横比。如此,由TSV结构的高纵横比引起的空隙问题和挤出或扩散问题得以解决或大大减少。另外,降低生物传感器系统封装件200的整体封装高度以满足高级封装要求。如此,生物传感器系统封装件200可实现小的形状因子。
然后,方法300进行至步骤330,在该步骤中翻转晶圆。如在图22中的实例所示,微流体沟道帽结构228在顶部,而TSV结构246在底部。方法300然后进行至步骤332,在该步骤中减薄微流体沟道帽结构以形成入口/出口端口240’,如图23中的实例所示。通过诸如研磨和CMP等任何合适的工艺来减薄微流体沟道帽结构228。在减薄微流体沟道帽结构228之后,暴露入口/出口沟道240以形成入口/出口端口240’。如此,腔室244可通过入口/出口端口240’连接到外部。
可选地,在步骤334处,可首先减薄微流体沟道帽结构,然后蚀刻某些区域以形成入口/出口端口。然而,由于蚀刻工艺中的光刻胶的覆盖,未蚀刻一些入口/出口沟道,因此形成第二腔室高度,该第二腔室高度高于腔室(例如,如图22所示的腔室244)的高度。首先通过诸如研磨和CMP等任何合适的工艺来减薄微流体沟道帽结构。然而,应选择减薄的深度,使得在减薄后不暴露入口/出口沟道。在已经制造两个入口/出口沟道(例如,如图22所示的入口/出口沟道240)的一个实例中,蚀刻某些区域(例如,两个入口/出口沟道中的一个上方的区)以暴露两个入口/出口沟道中的一个,因此形成一个入口/出口端口。然而,未蚀刻另一入口/出口沟道上方的区,因此形成第二腔室高度。第二腔室高度等于腔室的高度加上入口/出口沟道的高度。与步骤332相比,可选的步骤334提供更多灵活性,并且可应用于具有两个不同高度(即,腔室高度和第二腔室高度)的腔室。
然后,方法300进行至步骤336,在该步骤中翻转晶圆。在翻转晶圆之后,载体衬底220和TSV结构246在顶部上。然后,方法300进行至步骤338,在该步骤中将单独芯片接合到TSV结构。单独芯片可以是用作生物传感器系统的部分的任何芯片。在一个实施例中,单独芯片是RAM芯片。在一个实施例中,单独芯片是数据处理芯片。在一个实施例中,单独芯片是RAM和数据处理芯片。可通过任何合适的工艺将单独芯片接合到TSV结构。在一个实施例中,通过焊料凸块接合将单独芯片接合到TSV结构。焊球是接合到半导体器件的接触区域或焊盘的小焊料球(焊球)。在一个实例中,焊料凸块接合包括以下操作:将焊料凸块放置在TSV结构上;翻转晶圆;将焊料凸块与单独芯片的接触焊盘对准;并在炉中对焊料凸块进行回流,以在TSV结构与单独芯片之间建立接合。在其他实施例中,可通过引线接合将单独芯片接合到TSV结构。如图24中的实例所示,通过焊料凸块接合(使用焊料凸块248)将RAM和数据处理芯片250接合到TSV结构246,并且倒装晶圆。如此,使用方法300来制造生物传感器系统封装件200。
图25A和图25B是示出根据一些实施例的制造生物传感器系统封装件的另一方法2500的流程图。图26至图30是示出根据一些实施例的根据图25A和图25B的方法的一个或多个步骤所构造的生物传感器系统封装件3000的截面图。方法2500与图3A至图3B中的方法300相同,不同之处在于在方法2500中在接合微流体沟道帽结构之前制造TSV结构。因此,为简化起见,未详细描述方法2500的一些步骤,因为上文已经描述类似的步骤。
方法2500在类似于图3A中的步骤302的步骤2502处开始,在该步骤中提供衬底。衬底可以是半导体衬底(例如,晶圆)。在一个实例中,半导体衬底可以是SOI衬底,该SOI衬底包括体硅层、埋氧(BOX)层和半导体层(即,有源层)。然后,方法2500进行至类似于图3A中的步骤304的步骤2504,在该步骤中在衬底上形成晶体管结构。晶体管结构(即,FET)可包括栅极结构、源极区、漏极区以及介于源极区与漏极区之间的沟道区。然后,方法2500进行至类似于图3A中的步骤306的步骤2506,在该步骤中在晶体管结构上方形成MLI结构。MLI结构可包括导电线、导电通孔和/或插入介电层(例如,ILD层)。MLI结构可提供到晶体管(即,FET)的物理和电连接。然后,方法2500进行至类似于图3A中的步骤308的步骤2508,在该步骤中将载体衬底附接到正面(F)。换句话说,载体衬底附接到MLI结构。载体衬底可在后续步骤期间保护正面(F)。在一个实施例中,载体衬底接合到MLI结构。可使用熔融、扩散、低共熔和/或其他合适的接合方法来附接载体衬底。
然后,方法2500进行至类似于图3B中的步骤326的步骤2510,在该步骤中减薄载体衬底。在一个实例中,通过研磨减薄载体衬底。应注意,也可采用诸如CMP等其他工艺。根据下文将论述的步骤2512而选择载体衬底的厚度。然后,方法2500进行至类似于步骤328的步骤2512,在该步骤中通过载体衬底产生TSV结构并将其连接到MLI结构。TSV用于为生物传感器系统封装件提供电连接并用于散热。在一个实施例中,通过以下操作形成TSV结构。首先,通过一个或多个蚀刻工艺形成延伸到MLI结构的导电线的TSV开口。在形成TSV开口之后,在TSV开口的侧壁上形成衬垫以用作隔离层,使得TSV结构的导电材料与载体衬底不彼此直接接触。之后,在衬垫上和TSV开口的底部上共形地形成扩散势垒层。扩散势垒层用于防止将稍后形成的导电材料迁移到不期望的区。在形成扩散势垒层之后,使用导电材料以填充到TSV开口中。之后,通过诸如CMP工艺等平坦化工艺去除在TSV开口的外侧上的过多衬垫、扩散势垒层和导电材料。
如图26中的实例所示,通过正面(F)处的载体衬底220产生TSV结构246并将其连接到MLI结构212。TSV结构246包括衬垫246a、扩散势垒层246b和导电材料246c。图26所示的背面(B)处的SOI衬底202包括体硅层204、埋氧(BOX)层206和半导体层208(即,有源层208)。晶体管结构(即,FET)210形成在半导体层208中的有源区上。
然后,方法2500进行至步骤2514,在该步骤中翻转晶圆。在步骤2514之后,体硅层在顶部上。然后,方法2500进行至类似于图3A中的步骤312的步骤2516,在该步骤中去除体硅层。去除可通过机械或化学手段完成。例如,机械手段包括抛光或研磨,诸如CMP。化学手段包括湿蚀刻或干蚀刻。如图27中的实例所示,去除图26中的体硅层204。埋氧层206在背面(B)的顶部上。
然后,方法2500进行至类似于图3A中的步骤314的步骤2518,在该步骤中对埋氧层进行图案化以在背面(B)处形成开口。在埋氧层上形成光刻胶图案。在一些实施例中,光刻胶图案保护一些埋氧层免受后续非等离子蚀刻,以暴露在步骤2504处形成的晶体管结构的有源区。非等离子蚀刻可以是不涉及等离子的湿蚀刻或干蚀刻。在一些实施例中,可采用两步蚀刻工艺来在背面(B)处形成开口。第一蚀刻步骤包含等离子,并且第二蚀刻步骤是非等离子蚀刻。如图28中的实例所示,非等离子蚀刻形成沟槽222,该沟槽的底部暴露FET 210的沟道区。非等离子蚀刻用于避免在沟道区219的暴露表面处的等离子体诱发损伤(PID)。
然后,方法2500进行至类似于步骤316的步骤2520,在该步骤中沉积界面层。在一个实施例中,界面层是高k材料层。界面层对于生物分子或生物实体结合是兼容的(例如,友好的)。例如,界面层可提供用于生物分子或生物实体的结合界面。在一些实施例中,界面层包括多个层。例如,界面层可包括介电材料(例如,高k材料)、导电材料和/或用于保持受体的其他合适的材料。可使用诸如例如PVD(溅射)、CVD、PECVD、APCVD、LPCVD、HDPCVD或ALCVD等CMOS工艺来来形成界面层。如图28中的实例所示,在沟槽222的暴露表面和FET 210的有源区的暴露表面上形成界面层224(例如,高k材料层)。另外,在埋氧层206的整个表面上方沉积界面层224。
可选地,在类似于图3A中的步骤318的步骤2522处,在暴露一些接合位点的同时沉积界面层。接合位点用于将微流体沟道帽结构接合到背面(B),这将在下文在步骤2524处详细描述。应注意,是否需要接合位点取决于具体接合要求。
如图28中的实例所示,在沟槽222的暴露表面和FET 210的有源区的暴露表面上形成界面层224(例如,高k材料层)。换句话说,埋氧层206被界面层224覆盖。应注意,在一些实施例中可采用接合位点。
然后,方法2500进行至步骤2524,该步骤类似于图3A中的步骤320。在一个实施例中,步骤2524包括图3C中的步骤352至366。步骤2524与CMOS工艺兼容。然后,方法2500进行至类似于图3A中的步骤322的步骤2526,在该步骤中将微流体沟道帽结构接合到生物传感器系统封装件的背面。具体地,微流体沟道帽结构接合到埋氧层。在其他实施例中,在埋氧层上沉积并图案化的中间接合层与帽结构衬底的接合区域交接。可使用熔融接合、共晶接合、阳极接合和/或其他合适的接合方法来将微流体沟道帽结构接合到生物传感器系统封装件的背面。
然后,方法2500进行至类似于步骤332的步骤2528,在该步骤中减薄微流体沟道帽结构以形成入口/出口端口。通过诸如研磨和CMP等任何合适的工艺来减薄微流体沟道帽结构。在减薄微流体沟道帽结构之后,暴露入口/出口沟道以形成入口/出口端口。
可选地,在类似于步骤334的步骤2530处,可首先减薄微流体沟道帽结构,然后蚀刻某些区域以形成入口/出口端口。然而,由于蚀刻工艺中的光刻胶的覆盖,未蚀刻一些入口/出口沟道,因此形成第二腔室高度,该第二腔室高度高于腔室(例如,如图29所示的腔室244)的高度。首先通过诸如研磨和CMP等任何合适的工艺来减薄微流体沟道帽结构。然而,应选择减薄的深度,使得在减薄后不暴露入口/出口沟道。在已经制造两个入口/出口沟道(的一个实例中,蚀刻某些区域(例如,两个入口/出口沟道中的一个上方的区)以暴露两个入口/出口沟道中的一个,因此形成一个入口/出口端口。然而,未蚀刻另一入口/出口沟道上方的区,因此形成第二腔室高度。第二腔室高度等于腔室的高度加上入口/出口沟道的高度。同样,与步骤2528相比,类似于步骤334的可选的步骤2530提供更多灵活性,并且可应用于具有两个不同高度(即,腔室高度和第二腔室高度)的腔室。如此,腔室可通过入口/出口端口连接到外部。如图29中的实例所示,微流体沟道帽结构228接合到背面(B)。在帽结构衬底230内具有两个不同高度的腔室244通过入口/出口端口240’在外部连接。
然后,方法2500进行至步骤2532,在该步骤中翻转晶圆。在翻转晶圆之后,载体衬底和TSV结构在顶部上。然后,方法2500进行至步骤2534,在该步骤中将单独芯片接合到TSV结构。单独芯片可以是用作生物传感器系统的部分的任何芯片。在一个实施例中,单独芯片是RAM和数据处理芯片。可通过任何合适的工艺将单独芯片接合到TSV结构。在一个实施例中,通过焊料凸块接合将单独芯片接合到TSV结构。如图30中的实例所示,通过焊料凸块接合(使用焊料凸块248)将RAM和数据处理芯片250接合到TSV结构246,并且随后倒装晶圆。如此,使用方法2500来制造生物传感器系统封装件3000。
图31是示出根据一些实施例的生物传感器系统封装件3100的截面图。图32A和图32B是示出根据一些实施例的制造图31的生物传感器系统封装件的方法3200的流程图。图32C是示出根据一些实施例的制造图32A至图32B的方法3200的微流体沟道帽结构的步骤的流程图。图33至图47是示出根据一些实施例的根据图32A和图32B的方法的一个或多个步骤所构造的生物传感器系统封装件的截面图。应注意,图31和图33至图47是示意性的并且未按比例绘制。与图2的生物传感器系统封装件200不同,一个单独芯片被接合到背面(B)并通过穿过微流体沟道帽结构228的通孔结构246连接到MLI结构212。由于许多结构和步骤类似于以上结构和步骤,因此下文将强调差异。
如图31所示,生物传感器系统封装件3100具有正面(F)和背面(B)。在图31所示的实例中,生物传感器系统封装件3100尤其包括:埋氧(BOX)层206和半导体层208、晶体管结构(即,FET)210、MLI结构212、载体衬底220、沟槽222、三个接合沟槽223、界面层(例如,高k材料层)224、微流体沟道帽结构228、再分布层(RDL)268、焊料凸块248和单独芯片(例如,RAM和数据处理芯片)250。微流体沟道帽结构228附接到背面(B)。微流体沟道帽结构228尤其包括帽结构230、腔室244、入口/出口端口240’、TSV结构246、柱结构254、两个接合环256、氧化物层258和顶部氧化物层259。腔室244可保持要测试的流体样本。入口/出口端口240’可通过管252连接到泵和/或储存器。单独芯片250通过TSV结构246和柱结构254连接到MLI结构212的第一金属层上的导电线中的至少一个(例如,第一金属层“M1”上的导电线)214。微流体沟道帽结构228通过柱结构254和接合环256接合到MLI结构212的导电线214。下文将参考图32A至图32C和图33至图47描述生物传感器系统封装件3100的元件的细节。
如图32A和图32B所示,方法3200用于制造如图31所示的生物传感器系统封装件3100。应注意,对于方法的其他实施例,可在方法3200之前、期间和之后提供附加步骤,并且可替换或消除以下描述的一些步骤。此外,应注意,方法3200包括具有典型CMOS技术工艺流程的部件的步骤,并因此,在此处仅简要描述。
方法3200在步骤3202处开始,在该步骤中提供衬底。衬底包括体硅层、埋氧层(例如,图31所示的埋氧层206)和半导体层(例如,图31所示的半导体层208)。在步骤3204处,在衬底上形成晶体管结构(例如,图31所示的FET 210)。在步骤3206处,在晶体管结构上方形成MLI结构(例如,图31所示的MLI结构212)。在步骤3208处,将载体衬底(例如,图31所示的载体衬底220)附接到生物传感器系统封装件的正面(F)。在步骤3210处,翻转晶圆。在步骤3212处,去除衬底的体硅层。在步骤3214处,对衬底的埋氧层进行图案化以在生物传感器系统封装件的背面(B)处形成开口(例如,图31所示的沟槽222)。在步骤3216处,沉积界面层(例如,图31所示的界面层224,该界面层在一个实例中是高k材料层)。
然后,方法3200进行至步骤3218。在步骤3218中,对MLI结构的界面层、埋氧层、半导体层和顶部插入介电层(例如,图31所示的顶部插入介电层218)进行图案化并蚀刻,以形成接合沟槽(例如,图31所示的接合沟槽223),以用于在MLI结构的第一金属层处暴露导电线并容纳接合环(例如,图31所示的接合环256)和柱结构(例如,图31所示的柱结构254)。
然后,方法3200进行至步骤3220,在该步骤中制造微流体沟道帽结构。在一个实施例中,步骤3220包括图32C所示的步骤3252至3272。在步骤3252处,提供帽结构衬底。在步骤3254处,在帽结构衬底上沉积氧化物层,并且在氧化物层上沉积氮化物层。在图33的实例中,在帽结构衬底230上沉积氧化物层258,并且在氧化物层258上沉积氮化物层260。在一个实施例中,帽结构衬底230是高掺杂且导电的硅衬底。在一个实施例中,氧化物层258的厚度范围介于100nm到300nm,并且氮化物层260的厚度范围介于100nm到300nm。
在步骤3256处,对氧化物层和氮化物层进行图案化和蚀刻以限定对应于接合环、柱结构和腔室的区域。在图34的实例中,对氧化物层258和氮化物层260进行图案化和蚀刻。保留有氧化物层258和氮化物层260的限定区域分别对应于柱结构、接合环和腔室。
在步骤3258处,在对应于柱结构的区域周围蚀刻隔离沟槽。在图35所示的实例中,通过干蚀刻或湿蚀刻在对应于柱结构的区域周围形成两个隔离沟槽262。在步骤3260处,在隔离沟槽中热生长氧化物。热氧化物在被氮化物层覆盖的区中不生长。在一个实施例中,热氧化物的厚度比由氮化物覆盖的氧化物层的厚度大一个数量级。次卧,热氧化物在帽结构衬底的所有侧面上生长。在图36所示的实例中,在隔离沟槽262中生长(热)氧化物层258。
在步骤3262处,回蚀限定区域中的氮化物层和氧化物层。在图37所示的实例中,在限定的区域中暴露帽结构衬底230。在步骤3264处,毯式沉积多晶硅层。对应于柱结构和接合环的限定区域中的多晶硅层随后分别用作柱结构和接合环的部分。在图38所示的实例中,多晶硅层264毯式沉积并且在限定区域和未限定区域两者上方。在一个实施例中,多晶硅层264的厚度范围介于3μm到5μm。
在步骤3266处,去除多晶硅层的原生氧化物,并且毯式沉积中间层。当含硅表面暴露于环境条件或氧气时,可形成原生氧化物层。多晶硅的原生氧化物的存在会增加多晶硅与中间层之间的电阻,这是不期望的。在一个实施例中,通过诸如用稀氢氟酸(HF)处理原生氧化物等湿工艺去除多晶硅层的原生氧化物。中间层由适合于共晶接合的任何材料制成。在一个实施例中,中间层由锗(Ge)制成。在图39的实例中,在去除原生氧化物之后,在多晶硅层264上毯式沉积中间层266。
在步骤3268处,对对应于接合环和柱结构的区域进行图案化,并且移动其他未图案化区域中的中间层和多晶硅层。在图40的实例中,在去除其他未图案化区域中的中间层266和多晶硅层264之后,柱结构254和接合环256突出。柱结构254和接合环256都包括多晶硅层264的部分以及中间层266的在多晶硅层264的该部分的顶部上的部分。可选地,在接合环256周围的区域和对应于腔室的区域中蚀刻帽结构衬底230。
在步骤3270处,对全局腔区进行图案化并蚀刻以形成腔室。在图41的实例中,对全局腔区232进行图案化,并且在全局腔区232中蚀刻帽结构衬底230以形成腔室244。腔室244的腔室深度(CD)小于支柱结构254的支柱结构深度(PD)。
可选地,在步骤3272处,在腔室的底面和侧壁上沉积高k氧化物材料层。取决于应用,步骤3272是可选的。在一个实施例中,可通过毯式沉积高k材料层并蚀刻高k材料层同时使用光刻胶喷涂机阻挡腔室区域来执行步骤3272。在一个实施例中,高k氧化物材料的厚度范围介于2nm到3nm。在图42的实例中,高k氧化物材料242覆盖腔室244的底面和侧壁。如此,制造微流体沟道帽结构228。
再次参考图32A和图32B,在步骤3222处,将微流体沟道帽结构接合到生物传感器系统封装件的背面。在一个实施例中,接合是使用共晶接合,并且柱结构顶部的中间层266和接合环用于产生共晶体系。在图43的实例中,使用共晶接合来将微流体沟道帽结构228接合到生物传感器系统封装件的背面(B)。具体地,柱结构254和接合环256容纳在接合沟槽223中,并在MLI结构212的第一金属层M1处接合到导电线214。应注意,图43未按比例绘制。在非限制性实例中,接合沟槽的深度为2μm,柱结构254和接合环256的高度均为4μm,载体衬底220的厚度范围介于300μm到750μm;MLI结构212的厚度为10μm;帽结构衬底的厚度范围介于500μm到750μm。
在步骤3224处,减薄微流体沟道帽结构的顶部。可通过诸如研磨和CMP等任何合适的工艺来减薄微流体沟道帽结构。在图44的实例中,在减薄微流体沟道帽结构228之后,在背面(B)的顶部处暴露TSV结构。
在步骤3226处,对顶部氧化物层进行图案化并将其沉积在微流体沟道帽结构的顶部上,以产生用于柱结构的接触开口。在图45的实例中,在帽结构衬底230的除接触开口270以外的整个顶面上方沉积顶部氧化物层259。如此,TSV结构246与帽结构衬底230的其他部分电绝缘。
在步骤3228处,对再分布层(RDL)进行图案化并将其沉积在接触开口上方。通常,再分布层提供导电图案,该导电图案允许用于不同于通孔结构的图案的完整封装的引出线接触图案,从而在通孔和管芯的放置上允许具有更大的灵活性。再分布层包括导电线和通孔连接件,其中通孔连接件将上面的线连接到下面的导电部件。在图46的实例中,对再分布层268进行图案化并将其沉积在接触开口270上方。再分布层268电连接到TSV结构246中的高掺杂帽结构衬底230。
在步骤3230处,对顶部氧化物层和帽结构衬底进行图案化并蚀刻以形成入口/出口端口。蚀刻顶部氧化物层259和帽结构衬底230的某些区域以形成入口/出口端口。如此,形成了入口/出口端口。在图47的实例中,通过在腔室244内的某些区域中蚀刻顶部氧化物层259和帽结构衬底230来形成入口/出口端口240’。
在步骤3232处,将单独芯片接合到再分布层。单独芯片可以是用作生物传感器系统的部分的任何芯片。可通过任何合适的工艺将单独芯片接合到再分布层。如图31中的实例所示,通过焊料凸块接合(使用焊料凸块248)将RAM和数据处理芯片250接合到再分布层268。因此,RAM和数据处理芯片250通过以下电路径连接到MLI结构:再分布层268、TSV结构246的帽结构衬底230、柱结构254和第一金属处的导电线214层。如此,使用方法3200来制造生物传感器系统封装件3100。
图48是示出根据一些实施例的生物传感器系统封装件4800的截面图。生物传感器系统封装件4800是图2中的生物传感器系统封装件200与图31中的生物传感器系统封装件3100的组合。具体地,生物传感器系统封装件4800连接到正面处的一个单独芯片(使用穿过载体衬底的第一TSV结构)和背面处的另一单独芯片(使用穿过帽结构衬底的第二TSV结构)。换句话说,产生“三芯片加微流体”结构。生物传感器系统封装件4800的制造方法是图3A至图3B中的方法300与图32A至图32B中的方法3200的组合,因此为简单起见未详细描述。
如图48所示,生物传感器系统封装件4800具有正面(F)和背面(B)。在图48所示的实例中,生物传感器系统封装件4800尤其包括:埋氧(BOX)层206和半导体层208、晶体管结构(即,FET)210、MLI结构212、载体衬底220、沟槽222、三个接合沟槽223、界面层(例如,高k材料层)224、微流体沟道帽结构228、再分布层(RDL)268、两个焊料凸块248-1和248-2以及两个单独芯片(例如,RAM和数据处理芯片加辅助芯片)250-1和250-2。微流体沟道帽结构228附接到背面(B)。微流体沟道帽结构228尤其包括帽结构230、腔室244、入口/出口端口240’、第二TSV结构246-2、柱结构254、两个接合环256、氧化物层258和顶部氧化物层259。腔室244可保持要测试的流体样本。入口/出口端口240’可通过管连接到泵和/或储存器。第一单独芯片250-1使用第一TSV结构246-1来通过载体衬底220连接到MLI结构212。第二单独芯片250-2通过第二TSV结构246-2和柱结构254连接到MLI结构212的第一金属层上的导电线中的至少一个(例如,第一金属层“M1”上的导电线)214。微流体沟道帽结构228通过柱结构254和接合环256接合到MLI结构212的导电线214。
根据本发明的实施例包括一种生物传感器系统封装件。所述生物传感器系统封装件包括:晶体管结构,在具有正面和背面的半导体层中,所述晶体管结构包括沟道区;多层互连(MLI)结构,在所述半导体层的所述正面上,所述晶体管结构电连接到所述MLI结构;载体衬底,在所述MLI结构上;第一贯穿衬底通孔(TSV)结构,延伸穿过所述载体衬底并且被配置为提供所述MLI结构与单独管芯之间的电连接;埋氧(BOX)层,在所述半导体层的所述背面上,其中,所述埋氧层在所述沟道区的所述背面上具有开口,并且界面层在所述沟道区上方覆盖所述背面;以及微流体沟道帽结构,附接到所述埋氧层。
在一些实施例中,所述微流体沟道帽结构是使用互补金属氧化物半导体(CMOS)兼容工艺流程来制造的。在一些实施例中,所述微流体沟道帽结构还包括:帽结构衬底,具有腔室,所述腔室被配置为容纳要测试的流体样品;以及至少一个端口,连接所述腔室并且用于流入和流出所述流体样品。在一些实施例中,所述微流体沟道帽结构还包括:高k氧化物材料层,覆盖所述腔室的底部和侧壁。在一些实施例中,所述帽结构衬底具有与所述埋氧层的接合位点交接的接合区域。在一些实施例中,所述多层互连结构包括:多个插入介电层;多条导电线,每条导电线布置在所述多个插入介电层中的一个中;以及多个导电垂直互连通道(VIA)结构,连接所述多条导电线。在一些实施例中,所述第一TSV结构包括:导电材料;衬垫,将所述导电材料与所述载体衬底隔离;以及扩散势垒层,在所述导电材料与所述衬垫之间。在一些实施例中,生物传感器系统封装件还包括:所述单独管芯,其中,所述单独管芯电连接到所述第一贯穿衬底通孔结构,并且被配置为处理由所述晶体管结构收集的数据。在一些实施例中,所述界面层是高k材料层。在一些实施例中,所述界面层包括能够结合所述流体样品中的目标分析物的捕获试剂层。
其他实施例包括一种生物传感器系统封装件。所述生物传感器系统封装件包括:晶体管结构,在具有正面和背面的半导体层中,所述晶体管结构包括沟道区;多层互连(MLI)结构,在所述半导体层的所述正面上,所述MLI结构在第一金属(M1)层处包括第一导电线和第二导电线,所述晶体管结构电连接到所述MLI结构;载体衬底,在所述MLI结构上;埋氧(BOX)层,在所述半导体层的所述背面上,其中,所述埋氧层在所述沟道区的所述背面上具有开口、第一接合沟槽和第二接合沟槽,并且其中,界面层在所述沟道区上方覆盖所述背面;微流体沟道帽结构,附接到所述第一导电线和所述第二导电线;以及第二贯穿衬底通孔(TSV)结构,延伸穿过所述微流体沟道帽结构并且被配置为提供所述MLI结构与单独管芯之间的电连接。
在一些实施例中,所述微流体沟道帽结构是使用互补金属氧化物半导体(CMOS)兼容工艺流程来制造的。在一些实施例中,所述微流体沟道帽结构还包括:帽结构衬底,具有腔室,所述腔室被配置为容纳要测试的流体样品;至少一个端口,连接所述腔室并且用于流入和流出所述流体样品;柱结构,容纳在第一接合沟槽中并朝向所述第一导电线突出,其中,所述柱结构电连接到所述第二贯穿衬底通孔结构;以及接合环,容纳在第二接合沟槽中并朝向所述第二导电线突出。在一些实施例中,所述柱结构和所述接合环都包括导电层和中间层,所述中间层用于共晶接合。在一些实施例中,所述导电层由多晶硅制成,并且所述中间层由锗制成。在一些实施例中,所述第二贯穿衬底通孔结构包括:所述帽结构衬底的部分,其中,所述帽结构衬底的所述部分是高度掺杂的;以及至少一个氧化物层,包围所述帽结构衬底的所述部分。在一些实施例中,生物传感器系统封装件还包括:所述单独管芯,其中,所述单独管芯电连接到所述第二贯穿衬底通孔结构,并且被配置为处理由所述晶体管结构收集的数据。在一些实施例中,所述界面层是高k材料层。
其他实施例包括一种制造生物传感器系统封装件的方法。所述方法包括:提供衬底,所述衬底包括具有正面和背面的半导体层、所述背面处的埋氧(BOX)层和所述背面处的体硅层;在所述衬底上形成晶体管结构,其中,所述晶体管结构的沟道区在所述半导体层中;在所述半导体层的所述正面上形成多层互连(MLI)结构,其中,所述MLI结构电连接到所述晶体管结构;将所述载体衬底附接到所述MLI结构;去除所述体硅层;蚀刻所述埋氧层以在所述沟道区上方在所述背面处形成开口;在所述沟道区上方在所述背面上沉积界面层;制造微流体沟道帽结构;将所述微流体沟道帽结构接合到所述BOX层;减薄所述载体衬底;产生延伸穿过所述载体衬底的第一贯穿衬底通孔(TSV)结构,所述第一TSV结构电连接到所述MLI结构;以及减薄所述微流体沟道帽结构以形成用于流入和流出所述流体样品的至少一个端口。
在一些实施例中,所述制造微流体沟道帽结构包括:提供帽结构衬底;蚀刻所述帽结构衬底,以预限定对应于腔室的全局腔区;在所述帽结构衬底的接合区域上沉积硬掩模;对所述全局腔区的部分进行图案化和蚀刻,以形成对应于所述至少一个端口的至少一个沟道;蚀刻所述整个全局腔区以形成所述腔室;以及去除所述硬掩模。
前述内容概述了若干实施例的特征,以使得本领域技术人员可更好地理解本发明的各方面。本领域技术人员应了解,他们可容易地将本发明用作设计或修改其他过程和结构的基础,以实现与本文介绍的实施例相同的目的和/或实现相同的优点。本领域技术人员还应该认识到,这样的等同构造不脱离本发明的精神和范围,并且在不脱离本发明的精神和范围的情况下,它们可在这里进行各种改变,替换和变更。

Claims (10)

1.一种生物传感器系统封装件,包括:
晶体管结构,在具有正面和背面的半导体层中,所述晶体管结构包括沟道区;
多层互连(MLI)结构,在所述半导体层的所述正面上,所述晶体管结构电连接到所述多层互连结构;
载体衬底,在所述多层互连结构上;
第一贯穿衬底通孔(TSV)结构,延伸穿过所述载体衬底并且被配置为提供所述多层互连结构与单独管芯之间的电连接;
埋氧(BOX)层,在所述半导体层的所述背面上,其中,所述埋氧层在所述沟道区的所述背面上具有开口,并且界面层在所述沟道区上方覆盖所述背面;以及
微流体沟道帽结构,附接到所述埋氧层。
2.根据权利要求1所述的生物传感器系统封装件,其中,所述微流体沟道帽结构是使用互补金属氧化物半导体(CMOS)兼容工艺流程来制造的。
3.根据权利要求1所述的生物传感器系统封装件,其中,所述微流体沟道帽结构还包括:
帽结构衬底,具有腔室,所述腔室被配置为容纳要测试的流体样品;以及
至少一个端口,连接所述腔室并且用于流入和流出所述流体样品。
4.根据权利要求3所述的生物传感器系统封装件,其中,所述微流体沟道帽结构还包括:
高k氧化物材料层,覆盖所述腔室的底部和侧壁。
5.根据权利要求3所述的生物传感器系统封装件,其中,所述帽结构衬底具有与所述埋氧层的接合位点交接的接合区域。
6.根据权利要求1所述的生物传感器系统封装件,其中,所述多层互连结构包括:
多个插入介电层;
多条导电线,每条导电线布置在所述多个插入介电层中的一个中;以及
多个导电垂直互连通道(VIA)结构,连接所述多条导电线。
7.根据权利要求1所述的生物传感器系统封装件,其中,所述第一TSV结构包括:
导电材料;
衬垫,将所述导电材料与所述载体衬底隔离;以及
扩散势垒层,在所述导电材料与所述衬垫之间。
8.根据权利要求1所述的生物传感器系统封装件,还包括:
所述单独管芯,其中,所述单独管芯电连接到所述第一贯穿衬底通孔结构,并且被配置为处理由所述晶体管结构收集的数据。
9.一种生物传感器系统封装件,包括:
晶体管结构,在具有正面和背面的半导体层中,所述晶体管结构包括沟道区;
多层互连(MLI)结构,在所述半导体层的所述正面上,所述多层互连结构在第一金属(M1)层处包括第一导电线和第二导电线,所述晶体管结构电连接到所述多层互连结构;
载体衬底,在所述多层互连结构上;
埋氧(BOX)层,在所述半导体层的所述背面上,其中,所述埋氧层在所述沟道区的所述背面上具有开口、第一接合沟槽和第二接合沟槽,并且其中,界面层在所述沟道区上方覆盖所述背面;
微流体沟道帽结构,附接到所述第一导电线和所述第二导电线;以及
第二贯穿衬底通孔(TSV)结构,延伸穿过所述微流体沟道帽结构并且被配置为提供所述多层互连结构与单独管芯之间的电连接。
10.一种制造生物传感器系统封装件的方法,包括:
提供衬底,所述衬底包括具有正面和背面的半导体层、所述背面处的埋氧(BOX)层和所述背面处的体硅层;
在所述衬底上形成晶体管结构,其中,所述晶体管结构的沟道区在所述半导体层中;
在所述半导体层的所述正面上形成多层互连(MLI)结构,其中,所述多层互连结构电连接到所述晶体管结构;
将载体衬底附接到所述多层互连结构;
去除所述体硅层;
蚀刻所述埋氧层以在所述沟道区上方在所述背面处形成开口;
在所述沟道区上方在所述背面上沉积界面层;
制造微流体沟道帽结构;
将所述微流体沟道帽结构接合到所述埋氧层;
减薄所述载体衬底;
产生延伸穿过所述载体衬底的第一贯穿衬底通孔(TSV)结构,所述第一贯穿衬底通孔结构电连接到所述多层互连结构;以及
减薄所述微流体沟道帽结构以形成用于流入和流出所述流体样品的至少一个端口。
CN202110129692.XA 2020-01-30 2021-01-29 生物传感器系统封装件及其制造方法 Active CN113270390B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062967890P 2020-01-30 2020-01-30
US62/967,890 2020-01-30
US17/095,151 US11747298B2 (en) 2020-01-30 2020-11-11 Wafer-level packaging of solid-state biosensor, microfluidics, and through-silicon via
US17/095,151 2020-11-11

Publications (2)

Publication Number Publication Date
CN113270390A true CN113270390A (zh) 2021-08-17
CN113270390B CN113270390B (zh) 2024-04-05

Family

ID=76853573

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110129692.XA Active CN113270390B (zh) 2020-01-30 2021-01-29 生物传感器系统封装件及其制造方法

Country Status (5)

Country Link
US (2) US11747298B2 (zh)
KR (1) KR102591916B1 (zh)
CN (1) CN113270390B (zh)
DE (1) DE102020130573A1 (zh)
TW (1) TWI807249B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11488980B2 (en) 2020-08-26 2022-11-01 Globalfoundries U.S. Inc. Wafer with localized semiconductor on insulator regions with cavity structures
US20220093731A1 (en) * 2020-09-22 2022-03-24 Globalfoundries U.S. Inc. Semiconductor on insulator wafer with cavity structures
US20220236261A1 (en) * 2021-01-26 2022-07-28 IceMos Technology Limited Semiconductor Device Providing a Biosensor to Test for Pathogen
CN116429300B (zh) * 2023-06-12 2023-09-22 之江实验室 基于单晶硅和微流道冷却的超高温压力传感芯片及系统

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101771018A (zh) * 2008-12-31 2010-07-07 台湾积体电路制造股份有限公司 具有气隙的穿透硅通孔
CN102208342A (zh) * 2010-03-30 2011-10-05 台湾积体电路制造股份有限公司 硅穿孔的形成方法
CN102646668A (zh) * 2011-02-17 2012-08-22 三星电子株式会社 具有基板穿孔的中间体的半导体封装及其制造方法
CN103180240A (zh) * 2010-10-26 2013-06-26 美敦力公司 包括电源的晶片规格封装件
US20130168740A1 (en) * 2012-01-02 2013-07-04 Kun-Lung Chen Integrated compact mems device with deep trench contacts
CN104051512A (zh) * 2013-03-14 2014-09-17 台湾积体电路制造股份有限公司 性能增强的背面感测生物场效应晶体管
CN105977282A (zh) * 2015-03-12 2016-09-28 台湾积体电路制造股份有限公司 用于制造生物传感器的微阱的方法
US20180019187A1 (en) * 2016-07-14 2018-01-18 Nxp Usa, Inc. Method of integrating a copper plating process in a through-substrate-via (tsv) on cmos wafer

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9689835B2 (en) 2011-10-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Amplified dual-gate bio field effect transistor
US8728844B1 (en) 2012-12-05 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Backside CMOS compatible bioFET with no plasma induced damage
US9299640B2 (en) 2013-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Front-to-back bonding with through-substrate via (TSV)
US10131540B2 (en) 2015-03-12 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method to mitigate soldering offset for wafer-level chip scale package (WLCSP) applications
KR102138344B1 (ko) 2016-08-09 2020-07-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 유체 분석을 위한 카트리지 및 분석기

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101771018A (zh) * 2008-12-31 2010-07-07 台湾积体电路制造股份有限公司 具有气隙的穿透硅通孔
CN102208342A (zh) * 2010-03-30 2011-10-05 台湾积体电路制造股份有限公司 硅穿孔的形成方法
CN103180240A (zh) * 2010-10-26 2013-06-26 美敦力公司 包括电源的晶片规格封装件
CN102646668A (zh) * 2011-02-17 2012-08-22 三星电子株式会社 具有基板穿孔的中间体的半导体封装及其制造方法
US20130168740A1 (en) * 2012-01-02 2013-07-04 Kun-Lung Chen Integrated compact mems device with deep trench contacts
CN104051512A (zh) * 2013-03-14 2014-09-17 台湾积体电路制造股份有限公司 性能增强的背面感测生物场效应晶体管
CN105977282A (zh) * 2015-03-12 2016-09-28 台湾积体电路制造股份有限公司 用于制造生物传感器的微阱的方法
US20180019187A1 (en) * 2016-07-14 2018-01-18 Nxp Usa, Inc. Method of integrating a copper plating process in a through-substrate-via (tsv) on cmos wafer

Also Published As

Publication number Publication date
KR20210098325A (ko) 2021-08-10
US11747298B2 (en) 2023-09-05
US20210239647A1 (en) 2021-08-05
TWI807249B (zh) 2023-07-01
KR102591916B1 (ko) 2023-10-19
DE102020130573A1 (de) 2021-08-05
TW202129273A (zh) 2021-08-01
US20230393091A1 (en) 2023-12-07
CN113270390B (zh) 2024-04-05

Similar Documents

Publication Publication Date Title
CN113270390B (zh) 生物传感器系统封装件及其制造方法
US11486854B2 (en) CMOS compatible BioFET
US11099152B2 (en) Backside CMOS compatible BioFET with no plasma induced damage
US10823696B2 (en) Method of fabricating a biological field-effect transistor (BioFET) with increased sensing area
US10393695B2 (en) Integrated circuit device with adaptations for multiplexed biosensing
US20240044889A1 (en) Biosensor system with integrated microneedle
US20240151686A1 (en) Biological material sensing semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant