DE102020130573A1 - Wafer-Level-Packaging von Festkörper-Biosensoren, Mikrofluidik und Silizium-Durchkontaktierung - Google Patents

Wafer-Level-Packaging von Festkörper-Biosensoren, Mikrofluidik und Silizium-Durchkontaktierung Download PDF

Info

Publication number
DE102020130573A1
DE102020130573A1 DE102020130573.7A DE102020130573A DE102020130573A1 DE 102020130573 A1 DE102020130573 A1 DE 102020130573A1 DE 102020130573 A DE102020130573 A DE 102020130573A DE 102020130573 A1 DE102020130573 A1 DE 102020130573A1
Authority
DE
Germany
Prior art keywords
layer
substrate
biosensor system
system package
cap structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020130573.7A
Other languages
English (en)
Inventor
Allen Chang
Jui-Cheng Huang
Wen-Chuan Tai
Yu-Jie Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020130573A1 publication Critical patent/DE102020130573A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502707Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by the manufacture of the container or its components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502715Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by interfacing components, e.g. fluidic, electrical, optical or mechanical interfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0061Packages or encapsulation suitable for fluid transfer from the MEMS out of the package or vice versa, e.g. transfer of liquid, gas, sound
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00119Arrangement of basic structures like cavities or channels, e.g. suitable for microfluidic systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • G01N27/4145Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS specially adapted for biomolecules, e.g. gate electrode with immobilised receptors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/53Immunoassay; Biospecific binding assay; Materials therefor
    • G01N33/543Immunoassay; Biospecific binding assay; Materials therefor with an insoluble carrier for immobilising immunochemicals
    • G01N33/54366Apparatus specially adapted for solid-phase testing
    • G01N33/54373Apparatus specially adapted for solid-phase testing involving physiochemical end-point determination, e.g. wave-guides, FETS, gratings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/02Identification, exchange or storage of information
    • B01L2300/023Sending and receiving of information, e.g. using bluetooth
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/06Auxiliary integrated devices, integrated components
    • B01L2300/0627Sensor or part of a sensor is integrated
    • B01L2300/0636Integrated biosensor, microarrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/06Auxiliary integrated devices, integrated components
    • B01L2300/0627Sensor or part of a sensor is integrated
    • B01L2300/0645Electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0214Biosensors; Chemical sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/058Microfluidics not provided for in B81B2201/051 - B81B2201/054
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/015Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • B81B2207/091Arrangements for connecting external electrical signals to mechanical structures inside the package
    • B81B2207/094Feed-through, via
    • B81B2207/096Feed-through, via through the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Molecular Biology (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Hematology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Electrochemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Urology & Nephrology (AREA)
  • Biomedical Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Geometry (AREA)
  • Clinical Laboratory Science (AREA)
  • Medicinal Chemistry (AREA)
  • Microbiology (AREA)
  • Biotechnology (AREA)
  • Food Science & Technology (AREA)
  • Cell Biology (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)
  • Automatic Analysis And Handling Materials Therefor (AREA)
  • Auxiliary Devices For And Details Of Packaging Control (AREA)
  • Container Filling Or Packaging Operations (AREA)
  • Investigating Or Analyzing Materials By The Use Of Electric Means (AREA)

Abstract

Ein Biosensorsystem-Package umfasst: eine Transistorstruktur in einer Halbleiterschicht mit einer Vorderseite und einer Rückseite, wobei die Transistorstruktur eine Kanalregion umfasst; eine Mehrschichten-Interconnect-Struktur (MLI-Struktur) an der Vorderseite der Halbleiterschicht, wobei die Transistorstruktur elektrisch mit der MLI-Struktur verbunden ist; ein Trägersubstrat auf der MLI-Struktur; eine erste Substratdurchkontaktierungsstruktur (TSV-Struktur), die sich durch das Trägersubstrat erstreckt und konfiguriert ist, um eine elektrische Verbindung zwischen der MLI-Struktur und einem separaten Die bereitzustellen; eine vergrabene Oxidschicht (BOX-Schicht) an der Rückseite der Halbleiterschicht, wobei die vergrabene Oxidschicht eine Öffnung an der Rückseite der Kanalregion aufweist und eine Grenzflächenschicht die Rückseite über der Kanalregion bedeckt; und eine mikrofluidische Kanalkappenstruktur, die an der vergrabenen Oxidschicht angebracht ist.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62/967,890 , eingereicht am 30. Januar 2020, deren Inhalt durch Bezugnahme vollumfänglich in die vorliegende Anmeldung aufgenommen ist.
  • HINTERGRUND
  • Biosensoren sind Vorrichtungen zur Erfassung und zur Erkennung von Biomolekülen und arbeiten auf der Grundlage elektronischer, elektrochemischer, optischer und mechanischer Erkennungsgrundsätze. Biosensoren, die Transistoren umfassen, sind Sensoren, die Ladungen, Photonen und mechanische Eigenschaften von Biokörpern oder Biomolekülen elektrisch erfassen. Die Erkennung kann durch die Erkennung der Bioelemente oder Biomoleküle selbst oder durch Interaktion und Reaktion zwischen bestimmten Reaktanten und Bioelementen/Biomolekülen erfolgen. Solche Biosensoren lassen sich mit Hilfe von Halbleiterprozessen herstellen, können elektrische Signale schnell umwandeln und lassen sich leicht auf integrierte Schaltungen (ICs) und mikroelektromechanische Systeme (MEMS) anwenden.
  • Figurenliste
  • Aspekte dieser Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Elemente nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A ist ein Blockdiagramm eines beispielhaften Biosensorsystems nach einigen Ausführungsformen.
    • 1B ist ein schematisches Diagramm eines beispielhaften Biosensors, der in einem Biosensorsystems aus 1A verwendet wird, nach einigen Ausführungsformen.
    • 2 ist ein Querschnittsdiagramm, das ein Biosensorsystem-Package nach einigen Ausführungsformen illustriert.
    • 3A und 3B sind Ablaufdiagramme, die ein Verfahren zum Herstellen des Biosensorsystem-Packages aus 2 nach einigen Ausführungsformen illustrieren.
    • 3C ist ein Ablaufdiagramm, das einen Schritt des Verfahrens aus 3A und 3B nach einigen Ausführungsformen illustriert.
    • 4 bis 24 sind Querschnittsdiagramme, die das Biosensor-Package, das nach einem oder mehreren der Schritte des Verfahrens aus 3A und 3B konstruiert wurde, nach einigen Ausführungsformen illustrieren.
    • 25A und 25B sind Ablaufdiagramme, die ein weiteres Verfahren zum Herstellen des Biosensorsystem-Packages nach einigen Ausführungsformen illustrieren.
    • 26 bis 30 sind Querschnittsdiagramme, die das Biosensor-Package, das nach einem oder mehreren der Schritte des Verfahrens aus 25A und 25B konstruiert wurde, nach einigen Ausführungsformen illustrieren.
    • 31 ist ein Querschnittsdiagramm, das ein Biosensorsystem-Package nach einigen Ausführungsformen illustriert.
    • 32A und 32B sind Ablaufdiagramme, die ein Verfahren zum Herstellen des Biosensorsystem-Packages aus 31 nach einigen Ausführungsformen illustrieren.
    • 32C ist ein Ablaufdiagramm, das einen Schritt des Verfahrens aus 32A bis 32B nach einigen Ausführungsformen illustriert.
    • 33 bis 47 sind Querschnittsdiagramme, die das Biosensor-Package, das nach einem oder mehreren der Schritte des Verfahrens aus 32A und 32B konstruiert wurde, nach einigen Ausführungsformen illustrieren.
    • 48 ist ein Querschnittsdiagramm, das ein Biosensorsystem-Package nach einigen Ausführungsformen illustriert.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Funktionen des dargelegten Inhalts bereit. Spezifische Beispiele von Bauteilen und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele, die nicht als einschränkend zu verstehen sind. Beispielsweise kann das Bilden eines ersten Elements oder eines zweiten Elements in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt gebildet sind, und es kann außerdem Ausführungsformen umfassen, in denen weitere Elemente zwischen dem ersten und dem zweiten Element gebildet werden können, sodass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Weiterhin kann diese Offenbarung Referenzziffern und/oder - buchstaben der verschiedenen Beispiele wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen erklärten Ausführungsformen und/oder Konfigurationen.
  • Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in der Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Bezeichnungen, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.
  • Im Allgemeinen bezieht sich der Begriff „BioFET“ wie hier verwendet auf einen Feldeffekttransistor (FET), der eine Schicht immobilisierter Fängerreagenzien umfasst, die als Oberflächenrezeptoren wirken, um das Vorhandensein eines Zielanalyts biologischen Ursprungs nachzuweisen. Ein BioFET ist nach einigen Ausführungsformen ein Feldeffekt-Sensor mit einem Halbleiter-Transducer. Ein Vorteil der BioFETs ist die Erwartung eines einen labelfreien Betriebs. BioFETs ermöglichen insbesondere die Vermeidung von kostspieligen und zeitaufwendigen Markierungsvorgängen, wie z. B. die Markierung eines Analyten mit z. B. fluoreszierenden oder radioaktiven Sonden. Die Analyten für die Erkennung durch eine BioFET sind normalerweise biologischen Ursprungs, wie z. B. - ohne Einschränkung - Proteine, Kohlenhydrate, Lipide, Gewebefragmente oder Abschnitte davon. Ein BioFET kann ein Abschnitt einer breiteren Gattung von FET-Sensoren sein, die auch jede chemische Verbindung (in der Fachsprache als „ChemFET“ bezeichnet) oder jedes andere Element, umfassend Ionen wie Protonen oder Metallionen (in der Fachsprache als „ISFET“ bezeichnet), erkennen können. Diese Offenbarung gilt für alle Arten von FET-basierten Sensoren („FET-Sensor“).
  • Ein „Fängerreagens“ wie hierin verwendet ist ein Molekül oder eine Verbindung, die in der Lage ist, den Zielanalyten oder das Zielreagens zu binden, und die direkt oder indirekt an ein im Wesentlichen festes Material gebunden werden kann. Das Fängerreagens kann eine Chemikalie sein, und speziell jede Substanz, für die ein natürlich vorkommender Zielanalyten existiert (z. B. ein Antikörper, ein Polypeptid, eine DNA, eine RNA, eine Zelle, ein Virus usw.) oder für die ein Zielanalyt vorbereitet werden kann, und das Fängerreagens kann an einen oder mehrere Zielanalyten in einem Assay binden.
  • Ein „Zielanalyt“ wie hierin verwendet ist die Substanz, die in der Testprobe unter Verwendung der vorliegenden Offenbarung nachgewiesen werden soll. Der Zielanalyt kann eine Chemikalie sein, und speziell jede Substanz, für die ein natürlich vorkommendes Fängerreagens existiert (z. B. ein Antikörper, ein Polypeptid, eine DNA, eine RNA, eine Zelle, ein Virus usw.) oder für die ein Fängerreagens hergestellt werden kann, und der Zielanalyt kann in einem Assay an ein oder mehrere Fängerreagenzien binden. Der „Zielanalyten“ umfasst auch alle antigenen Substanzen, Antikörper oder Kombinationen davon. Der Zielanalyt kann ein Protein, ein Peptid, eine Aminosäure, ein Kohlenhydrat, ein Hormon, ein Steroid, ein Vitamin, ein Medikament, umfassend solche, die zu therapeutischen Zwecken verabreicht werden, sowie solche, die zu illegalen Zwecken verabreicht werden, ein Bakterium, ein Virus und Metaboliten von oder Antikörper gegen eine der oben genannten Substanzen umfassen.
  • Eine „Testprobe“ wie hierin verwendet bedeutet die Zusammensetzung, Lösung, Substanz, Gas oder Flüssigkeit, die den Zielanalyten umfasst, der unter Verwendung der vorliegenden Offenbarung nachgewiesen und analysiert werden soll. Die Testprobe kann neben dem Zielanalyten auch andere Bestandteile umfassen, die physikalischen Eigenschaften einer Flüssigkeit oder eines Gases aufweisen und von beliebiger Größe oder beliebigem Volumen sein, unter anderem beispielsweise in Form eines sich bewegenden Flüssigkeits- oder Gasstroms. Die Testprobe kann beliebige andere Substanzen als den Zielanalyten umfassen, solange die anderen Substanzen die Bindung des Zielanalyten mit dem Fängerreagens oder die spezifische Bindung des ersten Bindungsglieds an das zweite Bindungsglied nicht stören. Beispiele für Testproben sind unter anderem natürlich vorkommende und nicht natürlich vorkommende Proben oder Kombinationen davon. Natürlich vorkommende Testproben können synthetisch oder synthetisiert sein. Natürlich vorkommende Testproben umfassen Körper oder Körperflüssigkeiten, die von irgendwo im oder am Körper eines Probanden isoliert wurden, umfassend, aber nicht beschränkt auf, Blut, Plasma, Serum, Urin, Speichel oder Sputum, Rückenmarksflüssigkeit, Liquor, Pleuraflüssigkeit, Brustwarzenaspiration, Lymphflüssigkeit, Flüssigkeit der Atemwege, des Darms und der Urogenitaltraktes, Tränenflüssigkeit, Speichel, Muttermilch, Flüssigkeit aus dem Lymphsystem, Sperma, Zerebrospinalflüssigkeit, Flüssigkeit aus dem Organsystem, Aszitesflüssigkeit, Tumorzystenflüssigkeit, Fruchtwasser und Kombinationen davon sowie Umweltproben wie Grund- oder Abwasser, Bodenextrakte, Luft und Pestizidrückstände oder lebensmittelbezogene Proben.
  • Die nachgewiesenen Substanzen können z. B. Nukleinsäuren (darunter DNA und RNA), Hormone, verschiedene Krankheitserreger (darunter ein biologisches Agens, das bei seinem Wirt Krankheit oder Leiden verursacht, wie z. B. ein Virus (z. B. H7N9 oder HIV), ein Protozoon (z. B. Plasmodium, das Malaria auslöst) oder ein Bakterium (z. B. E. coli oder Mycobacterium tuberculosis)), Proteine, Antikörper, verschiedene Medikamente oder Therapeutika oder andere chemische oder biologische Substanzen, darunter Wasserstoff oder andere Ionen, nichtionische Moleküle oder Verbindungen, Polysaccharide, kleine chemische Verbindungen wie Mitglieder der chemischen kombinatorischen Bibliothek und dergleichen umfassen. Nachgewiesene oder bestimmte Parameter können unter anderem pH-Änderungen, Laktose-Änderungen, Konzentrationsänderungen, Partikel pro Zeiteinheit, bei denen eine Flüssigkeit für eine bestimmte Zeit über die Vorrichtung strömt, um Partikel (z. B. spärliche Partikel) nachzuweisen, und andere Parameter umfassen.
  • Wie hierin verwendet, umfasst der Begriff „immobilisiert“, wenn er z. B. in Bezug auf ein Fängerreagens verwendet wird, im Wesentlichen das Anbringen des Fängerreagens auf molekularer Ebene an einer Oberfläche. Beispielsweise kann ein Fängerreagens an einer Oberfläche des Substratmaterials immobilisiert werden, indem Adsorptionstechniken, wie etwa nicht-kovalente Wechselwirkungen (z. B. elektrostatische Kräfte, van-der-Waals-Kräfte und Dehydratisierung hydrophober Grenzflächen) und kovalente Bindungstechniken verwendet werden, bei denen funktionelle Gruppen oder Linker die Anbringung des Fängerreagens an der Oberfläche erleichtern. Die Immobilisierung eines Fängerreagens auf einer Oberfläche eines Substratmaterials kann auf den Eigenschaften der Substratoberfläche, dem Medium, das das Fängerreagens trägt, und den Eigenschaften des Fängerreagens beruhen. In einigen Fällen kann eine Substratoberfläche zunächst so modifiziert werden, dass funktionelle Gruppen an die Oberfläche gebunden werden. Die funktionellen Gruppen können sich dann an Biomoleküle oder biologische oder chemische Substanzen binden, um sie darauf zu immobilisieren.
  • Von Biosensoren erfasste Daten müssen zur Datenverarbeitung an Speichervorrichtungen wie dynamische Schreib-Lese-Speicher (DRAMs) auf einem separaten Chip (d. h. einem Die) gesendet werden. Der Biosensor-Chip und der DRAM-Chip befinden sich an verschiedenen Stellen auf einer Leiterplatte (PCB). Bei einer Anordnung von Biosensoren mit höherer Auflösung (z. B. 1024 x 1024) begrenzt das Routing zwischen dem Biosensor-Chip und dem DRAM die Datenübertragungsrate und Bandbreite und erhöht den Stromverbrauch und die Datenverzerrung. Um die Bandbreite des Datensignalpfads zwischen dem Biosensor und dem DRAM zu erhöhen, müssen der Widerstand, die Kapazität, die Induktivität, das Rauschen und die Verzerrung des Signalpfads verringert werden. Es ist daher wünschenswert, den physischen Abstand des Signalpfads zwischen dem Biosensor und dem DRAM zu verringern, um Leistungs- und Bandbreitenprobleme in Zusammenhang mit dem Widerstand, der Kapazität und der Induktivität des Pfads zu verringern. Es gibt jedoch physikalische Grenzen für die Signalpfadreduzierung, wenn sich der Biosensor-Chip und der DRAM separat auf einer Leiterplatte befinden.
  • Nach einigen Ausführungsformen ist ein Wafer-Level-Packaging bereitgestellt, um einen Biosensor (oder ein Biosensor-Array) mit einer mikrofluidischen Kanalkappenstruktur zu integrieren. Die mikrofluidische Kanalkappenstruktur wird unter Verwendung eines komplementären metalloxidhalbleiterkompatiblen (CMOS-kompatiblen) Prozessablaufs hergestellt. Dies ist eine skalierbare und kosteneffiziente Form der Herstellung im Vergleich zum Packaging auf Die-Ebene. Durch die Verwendung einer Siliziumdurchkontaktierung (TSV) als Grenzfläche zwischen den Chips/Dies wird der Signalweg zwischen dem Biosensor-Array, dem DRAM und den Verarbeitungschips weitestgehend minimiert. Dies verringert den Widerstand, die Kapazität und die Induktivität in den Leiterbahnen zwischen den Chips/Dies, was wiederum den Stromverbrauch, die Verzögerung, das Rauschen und die Verzerrung verringert und die Bandbreite erhöht. Dadurch können Daten von Biosensorarrays mit höherer Auflösung wesentlich schneller analysiert werden. In einer Ausführungsform kann das Biosensorsystem-Package mit einem separaten Chip (unter Verwendung einer ersten TSV-Struktur durch das Trägersubstrat) an der Vorderseite verbunden werden. In einer anderen Ausführung kann das Biosensorsystem-Package mit einem anderen separaten Chip an der Rückseite verbunden werden (unter Verwendung einer zweiten TSV-Struktur durch das Kappenstruktursubstrat). In noch einer weiteren Ausführungsform kann das Biosensorsystem-Package mit einem separaten Chip an der Vorderseite (unter Verwendung einer ersten TSV-Struktur durch das Trägersubstrat) und sowie einem weiteren separaten Chip an der Rückseite (unter Verwendung einer zweiten TSV-Struktur durch das KappenstrukturSubstrat) verbunden.
  • Ausführungsformen der Offenbarung können die Integration und Miniaturisierung des Biosensor-Analysesystems verstärken. Ausführungsformen der Offenbarung können auch den Platzbedarf von PCB verringern, indem der Biosensor (oder das Biosensor-Array) direkt mit der unterstützenden Elektronik zusammen verpackt wird. Zusätzlich kann der mikrofluidische Kanal direkt mit dem Biosensor (oder Biosensor-Array) zusammen in einem kompatiblen Prozessablauf hergestellt werden.
  • 1A ist ein Blockdiagramm eines beispielhaften Biosensorsystems 100 nach einigen Ausführungsformen. 1B ist ein schematisches Diagramm eines beispielhaften Biosensors 103, der in einem Biosensorsystems 100 aus 1A verwendet wird, nach einigen Ausführungsformen. Wie in 1A gezeigt, kann das beispielhafte Biosensorsystem 100 unter anderem ein Sensorarray 102, ein Flüssigkeitszufuhrsystem 104, eine Ausleseschaltung 106 und einen Controller 108 umfassen.
  • Das Sensorarray 102 kann mit mindestens einem Sensorelement zur Erkennung eines biologischen oder chemischen Analyten ausgestattet sein. Das Sensorarray 102 kann ein Array von Biosensoren umfassen (z. B. einen Biosensor 103, der in 1B gezeigt ist), bei dem einer oder mehrere der Biosensoren im Array funktionalisiert werden, um einen bestimmten Zielanalyten nachzuweisen. Verschiedene Biosensoren können mit unterschiedlichen Fängerreagenzien funktionalisiert werden, um verschiedene Zielanalyten zu erkennen. Die Biosensoren können in mehreren Zeilen und Spalten angeordnet werden, sodass ein 2-dimensionales Array von Biosensoren entsteht. In einigen Ausführungsformen wird jede Reihe von Biosensoren mit einem anderen Fängerreagens funktionalisiert. In einigen Ausführungsformen wird jede Spalte von Biosensoren mit einem anderen Fängerreagens funktionalisiert. In einigen Ausführungsformen wird ein bestimmter Bereich von Zeilen und Spalten von Biosensoren mit einem anderen Fängerreagens funktionalisiert. Weitere Details zu einem beispielhaften Biosensor 103 sind nachfolgend mit Verweis auf 1B bereitgestellt.
  • Das Flüssigkeitszufuhrsystem 104 kann eine oder mehrere Flüssigkeitsproben an das Sensorarray 102 abgeben. Das Flüssigkeitszufuhrsystem 104 kann eine mikrofluidische Vertiefung sein, die über dem Sensorarray 102 positioniert wird, um eine Flüssigkeit über dem Sensorarray 102 aufzunehmen. Das Flüssigkeitszufuhrsystem 104 kann auch mikrofluidische Kanäle zur Abgabe verschiedener Flüssigkeiten an das Sensorarray 102 umfassen. Das Flüssigkeitszufuhrsystem 104 kann eine beliebige Anzahl von Ventilen, Pumpen, Kammern und Kanälen umfassen, die zur Abgabe von Flüssigkeit an das Sensorarray 102 designt sind.
  • Die Ausleseschaltung 106 ist bereitgestellt, um Signale von den Sensoren im Sensorarray 102 zu messen und ein quantifizierbares Sensorsignal zu erzeugen, das die Menge eines bestimmten Analyten anzeigt, die nach einigen Ausführungsformen in einer Ziellösung vorhanden ist.
  • Der Controller 108 kann elektrische Signale sowohl an das Sensorarray 102 als auch an die Ausleseschaltung 106 senden und empfangen, um bio- oder chemikaliensensitive Messungen durchzuführen. Der Controller 108 kann auch elektrische Signale an das Flüssigkeitszufuhrsystem 104 senden, um z. B. ein oder mehrere Ventile, Pumpen oder Motoren zu betätigen. Der Controller 108 kann eine oder mehrere Verarbeitungsvorrichtungen, wie z. B. einen Mikroprozessor, umfassen und zur Steuerung des Betriebs der Ausleseschaltung 106, des Sensorarrays 102 und/oder des Flüssigkeitszufuhrsystems 104 programmierbar sein.
  • Wie in 1B gezeigt, kann der beispielhafte Biosensor 103 unter anderem ein Fluidgate 112, eine Quellregion 114, eine Drainregion 116, einen Abtastfilm 118, eine Kanalregion 120 umfassen. Das Flüssigkeitszufuhrsystem 104 trägt eine Flüssigkeit 122 über den Abtastfilm 118 auf. Die Flüssigkeit 122 kann ein Analyt umfassen, der nicht angezeigt wird. Der Abtastfilm 118 kann eine elektrisch und chemisch isolierende Schicht sein, die die Flüssigkeit 122 von der Kanalregion 120 trennt. Der Abtastfilm 118 kann unter anderem eine Schicht eines Fängerreagens umfassen. Das Fängerreagens ist spezifisch für ein Analyt und in der Lage, den Zielanalyten oder das Zielreagens zu binden. Bei der Bindung des Analyts kommt es zu Änderungen des elektrostatischen Potentials an der Oberfläche des Sensorfilms 118, was wiederum zu einem elektrostatischen Gate-Effekt des Biosensors 103 und einer messbaren Änderung eines Stroms Ids 126 zwischen der Source- und der Drain-Elektrode führt. Eine an das Fluidgate 112 angelegte Spannung kann auch die Ids 126 verändern.
  • Das Wafer-Level-Packaging wird verwendet, um den Biosensor 103 oder das Biosensor-Array 102 mit mikrofluidischem Kanal in ein Package zu integrieren. Zusätzlich werden die Chips (d. h. Dies) entweder mit einem oberen oder einem unteren Abschnitt des Packages durch eine Siliziumdurchkontaktierung (TSV) verbunden. Weitere Details das Wafer-Level-Packaging und die TSV-Verbindung betreffend sind nachfolgend aufgeführt.
  • 2 ist ein Querschnittsdiagramm, das ein Biosensorsystem-Package 200 nach einigen Ausführungsformen illustriert. 3A und 3B sind Ablaufdiagramme, die ein Verfahren 300 zur Herstellung des Biosensorsystem-Packages aus 2 nach einigen Ausführungsformen illustrieren. 4 bis 24 sind Querschnittsdiagramme, die das Biosensor-Package, das nach einem oder mehreren der Schritte des Verfahrens aus 3A und 3B konstruiert wurde, nach einigen Ausführungsformen illustrieren.
  • Wie in 2 gezeigt, weist das Biosensorsystem-Package 200 eine Vorderseite (F) und eine Rückseite (B) auf. In dem in 2 gezeigten Beispiel umfasst das Biosensorsystem-Package 200 u. a. eine vergrabene Oxidschicht (BOX-Schicht) 206 und eine Halbleiterschicht 208, eine Transistorstruktur (d. h., einen FET) 210, eine Mehrschichten-Interconnect-Struktur (MLI-Struktur) 212, ein Trägersubstrat 220, eine TSV-Struktur 246, einen Lötbump 248, einen separaten Chip/Die (z. B. einen RAM- und Datenverarbeitungschip) 250, einen Graben 222, eine Grenzflächenschicht (z. B. eine Materialschicht mit hohem k-Wert) 224 und eine mikrofluidische Kanalkappenstruktur 228. Der separate Chip 250 wird mit der TSV-Struktur 246 durch Lötbump-Bonding mit der Vorderseite (F) verbunden. Die mikrofluidische Kanalkappenstruktur 228 ist an der Rückseite (B) angebracht. Die mikrofluidische Kanalkappenstruktur 228 umfasst unter anderem eine Kappenstruktur 230, eine Kammer 244, Einlass-/Auslassports 240' und optional eine Oxidmaterialschicht mit hohem k-Wert 242. Die Kammer 244 kann zu prüfende Flüssigkeitsproben aufnehmen. Die Einlass-/Auslassports 240' können über einen oder mehrere Schläuche 252 für den Ein- und Auslass der Flüssigkeitsproben mit Pumpen und/oder Behältern verbunden werden. Details zu den Bestandteilen des Biosensorsystem-Packages 200 werden nachstehend mit Verweis auf 3A bis 3C und 4 bis 24 beschrieben.
  • Wie in 3A und 3B gezeigt, wird das Verfahren 300 verwendet, um ein Biosensorsystem-Package herzustellen. 3C ist ein Ablaufdiagramm, das einen Schritt 320 des Verfahrens 300 nach einigen Ausführungsformen illustriert. Es ist zu beachten, dass weitere Schritte vor, während und nach dem Verfahren 300 bereitgestellt werden können, und einige der nachfolgend beschriebenen Schritte können für weitere Ausführungsformen des Verfahrens ersetzt oder eliminiert werden. Ferner ist zu beachten, dass Verfahren 300 ein CMOSkompatibler Prozessablauf ist.
  • Das Verfahren 300 beginnt mit Schritt 302, bei dem ein Substrat bereitgestellt wird. Das Substrat kann ein Halbleitersubstrat (z. B. ein Wafer) sein. Das Halbleitersubstrat kann ein Siliziumsubstrat sein. Alternativ kann das Substrat einen anderen Elementarhalbleiter wie Germanium; einen Verbindungshalbleiter, umfassend Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, umfassend SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon umfassen. In einer Ausführungsform, die in 3A bis 3B und 4 bis 38 gezeigt wird, ist das Substrat ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) 202. Das in 4 gezeigte SOI-Substrat 202 umfasst eine Massensiliziumschicht 204, eine vergrabene Oxidschicht (BOX-Schicht) 206 und eine Halbleiterschicht 208 (d. h. eine aktive Schicht 208). Die vergrabene Oxidschicht 206 kann durch ein Verfahren wie die Trennung durch implantierten Sauerstoff (SIMOX) und/oder andere geeignete Verfahren gebildet werden. Die Halbleiterschicht 208 kann dotierte Regionen, wie z. B. p-Wells und n-Wells, umfassen.
  • Das Verfahren fährt dann mit Schritt 304 fort, wo eine Transistorstruktur auf dem Substrat gebildet wird. Die Transistorstruktur (d. h. der FET) kann eine Gatestruktur, eine Sourceregion, eine Drainregion und eine Kanalregion, die zwischen der Source- und der Drainregion liegt, umfassen. Wie in dem Beispiel aus 4 gezeigt ist, kann die Source-, Drain- und/oder Kanalregion des FET 210 auf einer aktiven Region in der Halbleiterschicht 208 gebildet werden. Der FET 210 kann ein n-FET (nFET) oder ein p-FET (pFET) sein. Zum Beispiel können die Source/Drainregionen je nach FET-Konfiguration n-Dotiermittel oder p-Dotiermittel umfassen. Die Gatestruktur kann eine Gate-Dielektrikumschicht, eine Gate-Elektrodenschicht und/oder andere geeignete Schichten umfassen. In einer Ausführungsform ist die Gate-Elektrode aus Polysilizium. Andere beispielhafte Gate-Elektroden sind metallische Gate-Elektroden, die Materialien wie Cu, W, Ti, Ta, Cr, Pt, Ag, Au; geeignete Metallverbindungen wie TiN, TaN, NiSi, CoSi; Kombinationen davon umfassen; und/oder andere geeignete leitfähige Materialien. In einer Ausführungsform ist das Gate-Dielektrikum Siliziumoxid. Weitere beispielhafte Gate-Dielektrika sind Siliziumnitrid, Siliziumoxynitrid, ein Dielektrikum mit einer hohen Dielektrizitätskonstante (hohem k-Wert) und/oder Kombinationen davon. Beispiele für Materialien mit hohem k-Wert sind Hafniumsilikat, Hafniumoxid, Zirkoniumoxid, Aluminiumoxid, Tantalpentoxid, Hafniumdioxid-Aluminiumoxid (HfO2-Al2O3)-Legierung oder Kombinationen davon. Der FET 210 kann mit typischen CMOS-Prozessen wie Photolithographie, Ionenimplantation und Diffusion; Abscheidung, umfassend physikalische Gasphasenabscheidung (PVD), Metallverdampfung oder -zerstäubung, chemische Gasphasenabscheidung (CVD), plasmagestützte chemische Gasphasenabscheidung (PECVD), chemische Gasphasenabscheidung bei Atmosphärendruck (APCVD), Niederdruck-CVD (LPCVD), CVD mit hochdichtem Plasma (HDPCVD), Atomlagenabscheidung (ALD), Spin-on-Coating; Ätzen, umfassend Nass-, Trocken- und Plasmaätzen; und/oder andere geeignete CMOS-Prozesse, hergestellt werden.
  • Das Verfahren 300 fährt dann mit Schritt 306 fort, wo eine Mehrschichten-Interconnect-Struktur (MLI-Struktur) über der Transistorstruktur gebildet wird. Die MLI-Struktur kann Leiterbahnen, leitfähige vertikale Verbindungszugänge (Durchkontaktierungen) und/oder dazwischenliegende Dielektrikumschichten (z. B. Zwischenschichtdielektrikumschichten (ILD-Schichten)) umfassen. Die MLI-Struktur kann eine physikalische und elektrische Verbindung zum Transistor (d. h. dem FET) herstellen, wie oben mit Bezug auf Schritt 304 beschrieben. Die Leiterbahnen können Kupfer, Aluminium, Wolfram, Tantal, Titan, Nickel, Kobalt, Metallsilizid, Metallnitrid, Polysilizium, Kombinationen davon und/oder anderen Materialien umfassen, die möglicherweise eine oder mehrere Schichten oder Auskleidungen umfassen. Die dazwischen liegenden dielektrischen Schichten (z. B. ILD-Schichten) können aus Siliziumdioxid, fluoriertem Siliziumglas (FGS), SILK (ein Produkt von Dow Chemical aus Michigan), BLACK DIAMOND (ein Produkt von Applied Materials aus Santa Clara, Kalifornien) und/oder anderen geeigneten Isoliermaterialien hergestellt sein. Die MLI-Struktur kann durch geeignete, für die CMOS-Fertigung typische Prozesse wie CVD, PVD, ALD, Plattierung, Spin-on-Coating und/oder andere Prozesse gebildet werden.
  • Wie in dem Beispiel aus 4 gezeigt, wird eine MLI-Struktur 212 auf dem Trägersubstrat 202 und über dem FET 210 abgeschieden. Die MLI-Struktur 212 umfasst mehrere Leiterbahnen 214, die durch leitfähige Durchkontaktierungen oder Stecker 216 verbunden sind. In einer Ausführungsform umfassen die Leiterbahnen 214 Aluminium und/oder Kupfer. In einer Ausführungsform umfassen die Durchkontaktierungen oder Stecker 216 Wolfram. In einer anderen Ausführungsform umfassen die Durchkontaktierungen oder Stecker 216 Kupfer. In einer Ausführungsform sind die dazwischen liegenden dielektrischen Schichten 218 auf dem Substrat 202 angeordnet und umfassen die dazwischen liegenden leitfähigen Merkmale der MLI-Struktur 212. Die dazwischen liegenden dielektrischen Schichten 218 können ILD-Schichten sein. In einer anderen Ausführungsform ist die Dielektrikumschicht 218 eine einzelne ILD-Schicht. In einer Ausführungsform umfasst jede der dazwischen liegenden dielektrischen Schichten 218 Siliziumoxid. Die MLI-Struktur 212 kann eine elektrische Verbindung zum Gate und/oder zur Source/Drain des FET 210 herstellen. Wie in dem Beispiel aus 4 gezeigt, befindet sich die MLI-Struktur 212 an der Vorderseite (F), während sich das Substrat 202 an der Rückseite (B) befindet.
  • Das Verfahren 300 fährt dann mit Schritt 308 fort, wo ein Trägersubstrat an der Vorderseite (F) angebracht wird. Mit anderen Worten, das Trägersubstrat ist an der MLI-Struktur angebracht. Das Trägersubstrat kann die Vorderseite (F) bei nachfolgenden Schritten schützen. In einer Ausführungsform ist das Trägersubstrat mit der MLI-Struktur verbunden. In einer anderen Ausführungsform ist das Trägersubstrat mit der Passivierungsschicht verbunden, die auf der MLI-Struktur gebildet ist. Das Trägersubstrat kann durch Fusion, Diffusion, Eutektikum und/oder andere geeignete Bondingverfahren angebracht werden. Beispielhafte Zusammensetzungen für das Trägersubstrat umfassen Silizium, Glas und Quarz. Es ist anzumerken, dass andere Zusammensetzungen möglich sind und in den Rahmen der vorliegenden Offenbarung fallen. Wie in dem Beispiel aus 5 gezeigt, wird ein Trägersubstrat 220 an der MLI-Struktur 212 angebracht. In einigen Ausführungsformen kann das Trägersubstrat 220 Funktionalitäten wie Interconnect-Merkmale, Wafer-Bonding-Stellen, definierte Hohlräume und/oder andere geeignete Merkmale umfassen.
  • Das Verfahren 300 fährt dann mit Schritt 310 fort, wo der Wafer umgedreht wird. Wie in 6 gezeigt, befindet sich die Rückseite (B) an der Oberseite. Mit anderen Worten, die Massensiliziumschicht 204 befindet sich auf der Oberseite. Das Verfahren 300 fährt dann mit Schritt 312 fort, wo die Massensiliziumschicht 204 entfernt wird. Die Entfernung kann durch mechanische oder chemische Mittel erfolgen. Ein mechanisches Mittel umfasst beispielsweise das Polieren oder Schleifen, wie das chemisch-mechanische Polieren (CMP). Die chemischen Mittel umfassen Nassätzen, wie HF/Salpetersäure/Essigsäure (HNA) oder Tetramethylammoniumhydroxid (TMAH) oder Trockenätzen, umfassend Plasma und Nicht-Plasmaätzen. Wie in dem Beispiel aus 7 gezeigt, wird die Massesiliziumschicht 204 in 6 entfernt. Die vergrabene Oxidschicht 206 befindet sich oben an der Rückseite (B).
  • Das Verfahren 300 fährt dann mit Schritt 314 fort, wobei die vergrabene Oxidschicht so strukturiert ist, dass sie eine Öffnung an der Rückseite (B) bildet. Auf der vergrabenen Oxidschicht wird eine Fotolackstruktur gebildet. In einigen Ausführungen schützt die Fotolackstruktur einen Abschnitt der vergrabenen Oxidschicht vor einer nachfolgenden Nicht-Plasma-Ätzung, um die Rückseite (B) des Biosensorsystem-Packages 200 zu belichten. Insbesondere schützt die Fotolackstruktur einen Abschnitt der vergrabenen Oxidschicht vor dem anschließenden Nicht-Plasmaätzen, um die aktive Region der in Schritt 304 gebildeten Transistorstruktur freizulegen. Die Nicht-Plasma-Ätzung kann eine Nass- oder Trockenätzung sein, die kein Plasma umfasst. Bei einigen Ausführungen kann ein zweistufiges Ätzverfahren eingesetzt werden, um die Öffnung an der Rückseite (B) zu bilden. Der erste Ätzschritt umfasst Plasma und der zweite Ätzschritt ist eine Nicht-Plasma-Ätzung. Wie in dem Beispiel aus 8 gezeigt, bildet die Nicht-Plasma-Ätzung einen Graben 222 mit einem Boden, der die Kanalregion 219 des FET 210 freilegt. Zur Vermeidung von plasmainduzierten Schäden (PID) an der freiliegenden Oberfläche der Kanalregion 219 wird eine Nicht-Plasma-Ätzung verwendet. In einem nicht einschränkenden Beispiel kann die Höhe des Grabens 222 zwischen 0,3 µm und 1 µm liegen, während die Breite des Grabens 222 zwischen 0,5 µm und 200 µm liegen kann (in einigen extremen Fällen). In einigen Ausführungsformen ist das Seitenwandprofil des Grabens 222 im Wesentlichen gerade. Nach der Nicht-Plasma-Ätzung wird die Fotolackstruktur entfernt. Ein PID-freies Fotolackentfernungsverfahren wie Strippen und Ozonveraschung kann verwendet werden. Da die freiliegende Oberfläche des Grabens 222 und die freiliegende Oberfläche der Kanalregion des FET 210 für plasmainduzierte Schäden (PID) anfällig sind, können einige Plasmaveraschungsprozesse möglicherweise nicht zur Entfernung der Fotolackstruktur verwendet werden.
  • Das Verfahren 300 fährt dann mit Schritt 316 fort. In Schritt 316 wird eine Grenzflächenschicht abgeschieden. In einer Ausführungsform ist die Grenzfläche eine Materialschicht mit hohem k-Wert. Die Grenzfläche ist kompatibel (z. B. freundlich) für die Bindung von Biomolekülen oder Bioentitäten. Zum Beispiel kann die Grenzfläche eine Fängerreagenzschicht umfassen, d. h. eine Schicht aus Fängerreagens, die in der Lage ist, einen Zielanalyten in den flüssigen Proben zu binden. In einigen Ausführungsformen umfasst die Grenzflächenschicht mehrere Schichten. Zum Beispiel kann die Grenzfläche ein Dielektrikum (z. B. ein Material mit hohem k-Wert), ein leitfähiges Material und/oder ein anderes geeignetes Material zur Aufnahme eines Rezeptors umfassen. Zu den beispielhaften Grenzflächenmaterialien gehören dielektrische Filme mit hohem k-Wert, Metalle, Metalloxide, Dielektrika und/oder andere geeignete Materialien. Ein weiteres Beispiel für beispielhafte Grenzflächenschichtmaterialien sind HfO2, Ta2O5, Pt, Au, W, Ti, Al, Cu, Oxide solcher Metalle, SiO2, Si3N4, Al2O3, TiO2, TiN, ZrO2, SnO, SnO2; und/oder andere geeignete Materialien. Die Grenzflächenschicht kann durch CMOS-Prozesse wie z. B. physikalische Gasphasenabscheidung (PVD) (Sputtern), chemische Gasphasenabscheidung (CVD), plasmaunterstützte chemische Gasphasenabscheidung (PECVD), chemische Gasphasenabscheidung bei Atmosphärendruck (APCVD), Niederdruck-CVD (LPCVD), Plasma-CVD mit hoher Dichte (HDPCVD) oder Atomlagen-CVD (ALCVD) gebildet werden. Über der Grenzfläche wird eine Fotolackstruktur gebildet, um einen Abschnitt der Grenzfläche zu schützen. Der Abschnitt über der Kanalregion des FET ist geschützt. Ungeschützte Abschnitte der Grenzflächenschicht werden in einem nachfolgenden Ätzprozess entfernt. Der Ätzprozess kann jeden bekannten Ätzprozess umfassen, der Plasmaätzen umfasst, da der PID-empfindliche Abschnitt geschützt ist. Die Grenzfläche bedeckt die Kanalregion vollständig und kann die Quellregion und die Drainregion teilweise bedecken. Die teilweise Abdeckung der Source- und Drainregion kann auf der Grundlage des FET-Designs und der Flächenanforderungen für die Grenzflächenschicht angepasst werden. Bei einigen Ausführungsformen wird die Grenzfläche möglicherweise nicht strukturiert und geätzt und verbleibt über den jeweiligen Oberflächen des FET.
  • Wie in dem Beispiel aus 8 gezeigt, wird eine Grenzflächenschicht 224 (z. B. eine Materialschicht mit hohem k-Wert) auf der freiliegenden Oberfläche des Grabens 222 und der freiliegenden Oberfläche der aktiven Region des FET 210 gebildet. Zusätzlich wird die Grenzflächenschicht 224 über die gesamte Oberfläche der vergrabenen Oxidschicht 206 abgeschieden.
  • Alternativ wird in Schritt 318 eine Grenzflächenschicht abgeschieden, während einige Bonding-Stellen freigelegt werden. Die Bonding-Stellen werden zum Bonding einer mikrofluidischen Kanalkappenstruktur auf die Rückseite (B) verwendet, die unten in Schritt 322 ausführlich beschrieben wird. Es ist zu beachten, dass die Frage, ob Bonding-Stellen erforderlich sind, von den spezifischen Bonding-Anforderungen abhängt. Ähnlich wie in Schritt 316 kann die Grenzflächenschicht mit CMOS-Prozessen wie z. B. PVD (Sputtern), CVD, PECVD, APCVD, LPCVD, HDPCVD oder ALCVD gebildet werden. Über der Grenzfläche wird eine Fotolackstruktur gebildet, um einen Abschnitt der Grenzfläche zu schützen und die Bonding-Stellen sind nicht geschützt. Ungeschützte Abschnitte der Grenzflächenschicht werden in einem nachfolgenden Ätzprozess entfernt. Der Ätzprozess kann jeden bekannten Ätzprozess umfassen, der Plasmaätzen umfasst, da der PID-empfindliche Abschnitt geschützt ist. Nach dem Ätzen wird der Fotolack in einem PID-freien Fotolack-Entfernungsprozess entfernt.
  • Wie in dem Beispiel aus 9 gezeigt, wird die Grenzflächenschicht 224 (z. B. eine Materialschicht mit hohem k-Wert) auf der freiliegenden Oberfläche des Grabens 222 und der freiliegenden Oberfläche der aktiven Region des FET 210 gebildet, während zwei Bonding-Stellen 226 freigelegt werden. Mit anderen Worten, die vergrabene Oxidschicht 206 wird mit Ausnahme der beiden Bonding-Stellen 226 von der Grenzfläche 224 bedeckt. Es ist zu beachten, dass die Form der Bonding-Stellen je nach der Form der mikrofluidischen Kanalkappenstruktur variieren kann.
  • Das Verfahren 300 fährt dann mit Schritt 320 fort, wo eine mikrofluidische Kanalkappenstruktur hergestellt wird. 3C ist ein Ablaufdiagramm, das einen Schritt 320 des Verfahrens 300 nach einigen Ausführungsformen illustriert. Schritt 320 ist ein CMOSkompatibler Prozessablauf. In Schritt 352 wird ein Kappenstruktursubstrat bereitgestellt. Das Kappenstruktursubstrat kann ein Siliziumsubstrat sein, es können jedoch auch andere geeignete Materialien verwendet werden. Wie in dem Beispiel aus 10 gezeigt, ist ein Siliziumsubstrat 230 bereitgestellt.
  • In Schritt 354 wird das Kappenstruktursubstrat strukturiert und geätzt, um eine globale Hohlraumregion vorzudefinieren. Die globale Hohlraumregion entspricht dem mikrofluidischen Kanal. Eine Fotolackstruktur wird auf dem Kappenstruktursubstrat gebildet. Die Fotolackstruktur schützt einen Abschnitt des Kappenstruktursubstrats vor einer nachfolgenden Ätzung, um die globale Hohlraumregion vorzudefinieren. Nach der Strukturierung des Kappenstruktursubstrats wird die globale Hohlraumregion durch Ätzen des Kappenstruktursubstrats definiert. Der Ätzprozess kann ein Nassätzen umfassen, wie HF/Salpetersäure/Essigsäure (HNA) oder Tetramethylammoniumhydroxid (TMAH) oder Trockenätzen, umfassend Plasma und Nicht-Plasmaätzen. Anschließend wird der Fotolack entfernt. Wie in dem Beispiel aus 11 gezeigt, ist eine globale Hohlraumregion 232 an der oberen Oberfläche des Kappenstruktursubstrats 230 vordefiniert.
  • In Schritt 356 wird eine Hartmaske auf Bondflächen des Kappenstruktursubstrats aufgebracht. In einigen Ausführungsformen entsprechen die Bonding-Bereiche des Kappenstruktursubstrats den Bonding-Stellen auf der vergrabenen Oxidschicht in Schritt 318. Speziell grenzen die Bonding-Bereiche des Kappenstruktur-Substrats an die Bonding-Stellen auf der vergrabenen Oxidschicht an, und die mikrofluidische Kanalkappenstruktur wird mit der vergrabenen Oxidschicht (oder einer geeigneten Bonding-Zwischenschicht, die auf der vergrabenen Oxidschicht abgeschieden und strukturiert wurde) verbunden, was weiter unten in Schritt 322 ausführlich beschrieben wird. Die Hartmaske kann die Bonding-Bereiche vor nachfolgenden Ätzprozessen schützen. Bei einigen Ausführungsformen kann die Hartmaske aus Oxid hergestellt sein. Bei einigen Ausführungsformen kann die Hartmaske aus Polysilizium hergestellt sein. Die Hartmaske wird unter Verwendung geeigneter Verfahren wie CVD und/oder ähnlichem gebildet. In einem nicht einschränkenden Beispiel reicht die Dicke der Hartmaske von 0,3 µm bis 1 µm. Wie in dem Beispiel aus 12 gezeigt, wird die Hartmaske 236 (z. B. Oxid-Hartmaske) auf die Bondflächen 234 des Kappenstruktursubstrats 230 aufgebracht. Die Hartmaske 236 kann die Bonding-Bereiche 234 vor nachfolgenden Ätzprozessen schützen.
  • In Schritt 358 werden bestimmte Regionen der globalen Hohlraumregion so strukturiert, dass sie Einlass-/Auslasskanäle bilden. Auf der Hartmaske, der globalen Hohlraumregion, wird eine Fotolackstruktur gebildet. Die Fotolackstruktur schützt die Hartmaske und einen Abschnitt der globalen Hohlraumregion vor einer nachfolgenden Ätzung zur Bildung der Einlass-/Auslasskanäle. Anschließend wird das Kappenstruktursubstrat geätzt, um die Einlass-/Auslasskanäle zu bilden. Der Ätzprozess kann ein Nassätzen umfassen, wie HF/Salpetersäure/Essigsäure (HNA) oder Tetramethylammoniumhydroxid (TMAH) oder Trockenätzen, umfassend Plasma und Nicht-Plasmaätzen. Anschließend wird der Fotolack entfernt. Wie in dem Beispiel aus 13 gezeigt, befindet sich die Fotolackstruktur 238 auf der Hartmaske 236 und einem Abschnitt der globalen Hohlraumregion 232. Der freiliegende Abschnitt der globalen Hohlraumregion 232 wird geätzt, um die Einlass-/Auslasskanäle 240 zu bilden. Die Fotolackstruktur 238 wird dann entfernt, und die Struktur ist wie im Beispiel aus 14 gezeigt. Die gesamte globale Hohlraumregion 232, die die Einlass-/Auslasskanäle 240 umfasst, ist freigelegt, während die Bonding-Bereiche 234 von der Hartmaske 236 abgedeckt werden.
  • Bei Schritt 360 wird die gesamte globale Hohlraumregion deckend geätzt. Speziell wird die gesamte globale Hohlraumregion, umfassend die Einlass-/Auslasskanäle, gleichmäßig um eine bestimmte Tiefe zurückgeätzt, um die Kammer der mikrofluidischen Kanalkappenstruktur zu bilden. Andererseits werden die von der Hartmaske abgedeckten Bonding-Bereiche während des flächigen Ätzens geschützt. Als flächiges Ätzverfahren können alle geeigneten Ätzverfahren wie Nass- oder Trockenätzen, wie etwa Plasma- und Nicht-PlasmaÄtzen verwendet werden. Wie in dem Beispiel aus 15 gezeigt ist, wird die gesamte globale Hohlraumregion 232 des Kappenstruktursubstrats 230, wie etwa der Ein- und Auslasskanäle 240, mit einer vordefinierten Ätztiefe ED geätzt. Die vordefinierte Ätztiefe ED entspricht der gewünschten Höhe der Kammer 244 der mikrofluidischen Kanalkappenstruktur 228.
  • Optional wird in Schritt 362 eine Oxidmaterialschicht mit hohem k-Wert auf der globalen Hohlraumregion und der Hartmaske abgeschieden. Schritt 362 ist je nach Anwendung optional. Die Oxidmaterialschicht mit hohem k-Wert kann mit CMOS-Prozessen wie z. B. PVD (Sputtern), CVD, PECVD, APCVD, LPCVD, HDPCVD oder ALCVD gebildet werden. In einem nichtbegrenzenden Beispiel hat die Oxidmaterialschicht mit hohem k-Wert eine Dicke von 2 nm bis 3 nm. Wie in dem Beispiel aus 16 gezeigt ist, wird die Oxidmaterialschicht mit hohem k-Wert 242 auf der globalen Hohlraumregion 232 (also der Kammer 244) und der Hartmaske 236 abgeschieden. Die Oxidmaterialschicht mit hohem k-Wert 242 bedeckt den Boden und die Seitenwände der Kammer 244, den Boden und die Seitenwände der Einlass-/Auslasskanäle und die Hartmaske 236.
  • Optional wird in Schritt 364 die Grenzflächenschicht auf der Oberseite der Hartmaske entfernt. In einer Ausführungsform kann ein Fotolack-Sprühbeschichter durch einen Sprühbeschichtungsprozess so aufgesprüht werden, dass die globale Hohlraumregion abgedeckt wird. Die Fotolack-Sprühbeschichtungsanlage schützt die Oxidmaterialschicht mit hohem k-Wert, wenn die Oxidmaterialschicht mit hohem k-Wert auf der Hartmaske entfernt wird. Die Grenzflächenschicht auf der Oberseite der Hartmaske wird durch geeignete Verfahren, wie z. B. Plasmaätzen, entfernt. In einem Beispiel für ein Plasmaätzverfahren wird ein Gasgemisch aus Sauerstoff, einem fluorhaltigen Material und einem Inertgas bereitgestellt, und ein Hochgeschwindigkeits-Glimmentladungsstrom (Plasma) des Gasgemischs wird (in Impulsen) auf die Schicht aus Oxidmaterial mit hohem k-Wert geschossen. Das Sprühbeschichtungsverfahren wird verwendet, um Fotolack über einer Region mit tiefen Merkmalen aufzutragen. Beim Sprühbeschichtungsverfahren werden feine Fotolacktröpfchen auf die Struktur aufgebracht. Der Winkel, in dem die Fotolacktröpfchen versprüht werden, erlaubt es dem Fotolack, in die tiefen Gräben und Seitenwände einzudringen.
  • In Schritt 366 wird die Hartmaske entfernt. Die Hartmaske wird durch geeignete Verfahren entfernt. In einer Ausführungsform wird die Hartmaske durch Nassätzung entfernt. In einigen Ausführungsformen ist die Nassätzung eine fluorhaltige Ätzung, wie z. B. verdünnte Flusssäure (HF). In einigen Ausführungsformen ist die Nassätzung eine Ammoniakhydroxid/Wasserstoffperoxid-Ätzung. Das Nassätzen entfernt die Hartmaske, ohne die Schicht des Oxidmaterials mit hohem k-Wert wesentlich zu entfernen oder zu beschädigen. Wie in dem Beispiel aus 17 gezeigt, werden sowohl die optionale Oxidmaterialschicht mit hohem k-Wert 242 auf der Hartmaske 236 als auch die Hartmaske 236 entfernt. Die Bonding-Stellen 234 sind freigelegt. Der Boden und die Seitenwände der globalen Hohlraumregion 232 und die Ein- und Auslasskanäle 240 sind mit der Oxidmaterialschicht mit hohem k-Wert 242 bedeckt. So wird die mikrofluidische Kanalkappenstruktur 228 hergestellt.
  • Mit Blick zurück auf 3A fährt das Verfahren 300 mit Schritt 322 fort, bei dem die mikrofluidische Kanalkappenstruktur auf die Rückseite des Biosensorsystem-Packages gebondet wird. Insbesondere ist die mikrofluidische Kanalkappenstruktur mit der vergrabenen Oxidschicht verbunden. In einigen Ausführungsformen grenzen die Bonding-Stellen der vergrabenen Oxidschicht an die Bonding-Bereiche des Kappenstruktursubstrats. Bei anderen Ausführungsformen bildet eine Zwischenverbindungsschicht, die auf der vergrabenen Oxidschicht abgeschieden und strukturiert wird, eine Grenzfläche zu den Bonding-Bereichen des Kappenstruktursubstrats. Die mikrofluidische Kanalkappenstruktur kann mit der Rückseite des Biosensorsystem-Packages mittels Fusionsbindung, eutektischer Bindung, anodischer Bindung und/oder anderer geeigneter Bondingverfahren verbunden werden. Fusionsbonding nutzt Temperatur und Druck, um Halbleitermaterialien zu verbinden. In einem nicht einschränkenden Beispiel, in einem Fusionsbondingverfahren bei Raumtemperatur, zwingt eine Bondervorrichtung die mikrofluidische Kanalkappenstruktur und die Rückseite des Biosensorsystem-Packages zusammen. Darauf folgt ein Glühprozess zur Erhöhung der Haftfestigkeit. Bei einer eutektischen Verbindung wird eine Metallzwischenschicht verwendet, die ein eutektisches System erzeugen kann. Die eutektischen Metalle sind Legierungen, die bei einer bestimmten Zusammensetzung und Temperatur direkt vom festen in den flüssigen Zustand oder umgekehrt vom flüssigen in den festen Zustand übergehen, ohne ein Zweiphasengleichgewicht zu durchlaufen. Da die eutektische Temperatur sehr viel niedriger sein kann als die Schmelztemperatur der zwei oder mehr reinen Elemente, kann die eutektische Bindung die Vorteile niedriger Verarbeitungstemperaturen, niedriger resultierender Spannungen, die in der Endmontage induziert werden, hoher Haftfestigkeit, großem Fertigungsertrag und guter Zuverlässigkeit haben. Bei einer anodischen Verbindung werden die Gläser entweder mit Silizium oder Metall versiegelt, ohne eine Zwischenschicht einzubringen. Wie in dem Beispiel in 18 gezeigt, ist an der Rückseite (B)des Biosensorsystem-Package 200 die mikrofluidische Kanalkappenstruktur 228 gebondet. Speziell ist die mikrofluidische Kanalkappenstruktur 228 mit der vergrabenen Oxidschicht 206 verbunden. Die Bonding-Stellen 226 der vergrabenen Oxidschicht 206 grenzen an die Bonding-Stellen 234 des Kappenstruktursubstrats 230.
  • Das Verfahren 300 fährt dann mit Schritt 324 fort, wo der Wafer umgedreht wird. Wie in dem Beispiel aus 19 gezeigt, ist das Trägersubstrat 220, das sich an der Vorderseite (F) des Biosensorsystem-Packages 200 befindet, jetzt oben. Das Verfahren 300 fährt dann mit Schritt 326 fort, wo das Trägersubstrat ausgedünnt wird. In einem Beispiel wird das Trägersubstrat durch Schleifen ausgedünnt. Der Schleifprozess kann das Drehen einer Scheibe umfassen, die das mit einem geeigneten Schleifmaterial ausgekleidete Package des Biosensorsystems hält. Es ist zu beachten, dass auch andere Verfahren wie z. B. CMP angewandt werden können. Wie in 20 gezeigt, wurde das Trägersubstrat 220 ausgedünnt. Die Dicke des Trägersubstrats wird in Übereinstimmung mit Schritt 328 gewählt, der weiter unten beschrieben wird.
  • Das Verfahren 300 fährt dann mit Schritt 328 fort, wo eine TSV-Struktur (Substratdurchkontaktierung) durch das Trägersubstrat erzeugt und mit der MLI-Struktur verbunden wird. Der TSV wird zur Bereitstellung elektrischer Verbindungen und zur Wärmeableitung für das Biosensorsystem-Package 200 verwendet. Wie in dem Beispiel aus 21 gezeigt, wird eine TSV-Struktur 246 durch das Trägersubstrat 220 erzeugt und mit der MLI-Struktur 212 verbunden. Obwohl im Beispiel in 21 nur eine TSV-Struktur 246 gezeigt wird, kann mehr als eine TSV-Struktur gebildet werden, um das Trägersubstrat 220 zu durchdringen. Die TSV-Struktur 246 umfasst eine Auskleidung 246a, eine Diffusionssperrschicht 246b und ein leitfähiges Material 246c. In einer Ausführungsform wird die TSV-Struktur 246 durch die folgenden Operationen gebildet. Zuerst wird durch einen oder mehrere Ätzprozesse eine TSV-Öffnung gebildet, die sich bis zu einer Leiterbahn 214 der MLI-Struktur 212 erstreckt. Nach der Bildung der TSV-Öffnung wird die Auskleidung 246a an den Seitenwänden der TSV-Öffnung als Isolierungsschicht ausgebildet, sodass sich das leitfähige Material 246c der TSV-Struktur 246 und das Trägersubstrat 220 nicht direkt berühren. Danach wird die Diffusionssperrschicht 246b konform auf der Auskleidung 246a und auf dem Boden der TSV-Öffnung ausgebildet. Die Diffusionssperrschicht 246b wird verwendet, um zu verhindern, dass das leitfähige Material 246c, das später gebildet wird, in unerwünschte Regionen wandert. Nachdem die Diffusionssperrschicht 246b gebildet wurde, wird das leitfähige Material 246c verwendet, um die TSV-Öffnung zu füllen. Danach werden die überschüssige Auskleidung 246a, die Diffusionssperrschicht 246b und das leitfähige Material 246c, die sich auf der Außenseite der TSV-Öffnung befinden, durch ein Planarisierungsverfahren, wie z. B. ein chemischmechanisches Polierverfahren (CMP), entfernt, wobei jedes geeignete Entfernungsverfahren verwendet werden kann.
  • Die Auskleidung 246a ist aus einem isolierenden Material hergestellt, wie z. B. Oxiden oder Nitriden. Die Auskleidung 246a kann durch Anwendung eines PECVD-Verfahrens oder anderer anwendbarer Verfahren gebildet werden. Die Auskleidung 246a kann einlagig oder mehrlagig sein. In einigen nicht einschränkenden Beispielen hat die Auskleidung 246a eine Dicke in einem Bereich von etwa 100 Å bis etwa 5000 Å. Die Diffusionssperrschicht 246b ist aus Ta, TaN, Ti, TiN oder CoW hergestellt. Bei einigen Ausführungsformen wird die Diffusionssperrschicht 246b durch ein PVD-Verfahren gebildet. Bei einigen Ausführungsformen wird die Diffusionssperrschicht 246b durch Plattieren gebildet. In einigen Ausführungsformen ist das leitfähige Material 246c aus Kupfer, Kupferlegierung, Aluminium, Aluminiumlegierungen oder Kombinationen davon hergestellt. Alternativ können auch andere anwendbare Materialien verwendet werden. Die Breite, Tiefe und das Seitenverhältnis der TSV-Struktur 246 kann unter verschiedenen Umständen gewählt werden. Da das Trägersubstrat 220 in Schritt 326 ausgedünnt wird, weist die TSV-Struktur 246 ein relativ kleines Seitenverhältnis auf. Dadurch werden die Leerraumprobleme und die Extrusions- oder Diffusionsprobleme, die sich aus einem hohen Seitenverhältnis der TSV-Struktur ergeben, gelöst oder stark verringert. Darüber hinaus wird die Gesamthöhe des Biosensorsystem-Packages 200 verringert, um fortgeschrittenen Packaging-Anforderungen gerecht zu werden. So kann das Biosensorsystem-Package 200 einen kleinen Formfaktor erreichen.
  • Das Verfahren 300 fährt dann mit Schritt 330 fort, wo der Wafer umgedreht wird. Wie in dem Beispiel aus 22 gezeigt, befindet sich die mikrofluidische Kanalkappenstruktur 228 oben, während sich die TSV-Struktur 246 unten befindet. Das Verfahren 300 fährt dann mit Schritt 332 fort, wo die mikrofluidische Kanalkappenstruktur ausgedünnt wird, um die Einlass-/Auslassports 240' zu bilden, wie im Beispiel aus 23 gezeigt. Die mikrofluidische Kanalkappenstruktur 228 wird durch ein beliebiges geeignetes Verfahren wie Schleifen und CMP ausgedünnt. Nach dem Ausdünnen der mikrofluidischen Kanalkappenstruktur 228 werden die Einlass-/Auslasskanäle 240 freigelegt, um die Einlass-/Auslassports 240' zu bilden. So kann die Kammer 244 über die Einlass-/Auslassports 240' nach außen verbunden werden.
  • Alternativ dazu kann in Schritt 334 die mikrofluidische Kanalkappenstruktur zuerst ausgedünnt und dann bestimmte Bereiche geätzt werden, um die Einlass-/Auslassports zu bilden. Einige Ein- und Auslasskanäle werden jedoch aufgrund der Abdeckung des Fotolacks im Ätzprozess nicht geätzt und bilden daher eine zweite Kammerhöhe, die höher ist als die Höhe der Kammer (z. B. die Kammer 244, wie in 22 gezeigt). Die mikrofluidische Kanalkappenstruktur wird zuerst durch ein beliebiges geeignetes Verfahren wie Schleifen und CMP ausgedünnt. Die Ausdünnungstiefe wird jedoch so gewählt, dass die Einlass-/Auslasskanäle nach der Ausdünnung nicht freigelegt werden. In einem Beispiel, in dem zwei Einlass-/Auslasskanäle (z. B. die Einlass-/Auslasskanäle 240 wie in 22 gezeigt) hergestellt wurden, werden bestimmte Bereiche (z. B. die Region über einem der beiden Einlass-/Auslasskanäle) geätzt, um einen der beiden Einlass-/Auslasskanäle freizulegen und so einen Einlass-/Auslassport zu bilden. Die Region über dem anderen Einlass-/Auslasskanal ist jedoch nicht geätzt und bildet daher eine zweite Kammerhöhe. Die Höhe der zweiten Kammer entspricht der Höhe der Kammer plus der Höhe des Einlass-/Auslasskanals. Der alternative Schritt 334 bietet eine höhere Flexibilität und kann im Vergleich mit Schritt 332 auf eine Kammer mit zwei verschiedenen Höhen (d. h. die Kammerhöhe und die Höhe der zweiten Kammer)angewendet werden.
  • Das Verfahren 300 fährt dann mit Schritt 336 fort, wo der Wafer umgedreht wird. Nachdem der Wafer umgedreht wurde, befinden sich das Trägersubstrat 220 und die TSV-Struktur 246 auf der Oberseite. Dann fährt das Verfahren 300 mit Schritt 338 fort, wo ein separater Chip auf die TSV-Struktur gebondet wird. Der separate Chip kann jeder beliebige Chip sein, der als Abschnitt des Biosensorsystems wirkt. In einer Ausführungsform ist der separate Chip ein RAM-Chip. In einer Ausführungsform ist der separate Chip ein Datenverarbeitungschip. In einer Ausführungsform ist der separate Chip ein RAM und ein Datenverarbeitungschip. Der separate Chip kann durch geeignete Verfahren mit der TSV-Struktur verbunden werden. In einer Ausführungsform wird der separate Chip durch Lötbump-Bonding mit der TSV-Struktur verbunden. Lötbumps sind die kleinen Kugeln aus Lot (Lotkugeln), die auf Kontaktflächen oder Pads von Halbleitervorrichtungen gebondet werden. In einem Beispiel umfasst das Lötbumpbonding die folgenden Vorgänge: Platzieren eines oder mehrerer Lötbumps auf den TSV-Strukturen; Umdrehen des Wafers; Ausrichten des Lötbumps (der Lötbumps) mit dem Kontaktpad (den Kontaktpads) des separaten Chips; und Aufschmelzen des Lötbumps (der Lötbumps) in einem Ofen, um das Bonding zwischen der TSV-Struktur und dem separaten Chip herzustellen. In anderen Ausführungsformen kann der separate Chip durch Drahtbonding mit der TSV-Struktur verbunden werden. Wie in dem Beispiel aus 24 gezeigt, wird ein RAM- und Datenverarbeitungschip 250 durch Lötbumps auf die TSV-Struktur 246 gebondet (unter Verwendung eines Lötbumps 248), und der Wafer wird zurückgedreht. So wird das Biosensorsystem-Package 200 nach dem Verfahren 300 hergestellt.
  • 25A und 25B sind Ablaufdiagramme, die ein weiteres Verfahren 2500 zur Herstellung des Biosensorsystem-Packages nach einigen Ausführungsformen illustrieren. 26 bis 30 sind ein Querschnittsdiagramm, das das Biosensor-Package 3000, das nach einem oder mehreren der Schritte des Verfahrens aus 25A und 25B konstruiert wurde, nach einigen Ausführungsformen illustrieren. Das Verfahren 2500 ist das gleiche wie das Verfahren 300 aus 3A bis 3B, wobei jedoch die TSV-Struktur vor dem Bonding der mikrofluidischen Kanalkappenstruktur in dem Verfahren 2500 hergestellt wird. Daher werden einige Schritte des Verfahrens 2500 der Einfachheit halber nicht ausführlich beschrieben, da ähnliche Schritte bereits zuvor beschrieben wurden.
  • Das Verfahren 2500 beginnt in Schritt 2502, der ähnlich wie Schritt 302 in 3A ist, wo ein Substrat bereitgestellt wird. Das Substrat kann ein Halbleitersubstrat (z. B. ein Wafer) sein. In einem Beispiel kann das Halbleitersubstrat ein SOI-Substrat sein, das eine Massensiliziumschicht, eine vergrabene Oxidschicht (BOX-Schicht) und eine Halbleiterschicht (d.h. eine aktive Schicht) umfasst. Das Verfahren 2500 fährt dann mit Schritt 2504 fort, der ähnlich wie Schritt 304 in 3A ist, wobei eine Transistorstruktur auf dem Substrat gebildet wird. Die Transistorstruktur (d. h. der FET) kann eine Gatestruktur, eine Sourceregion, eine Drainregion und eine Kanalregion, die zwischen der Source- und der Drainregion liegt, umfassen. Das Verfahren 2500 fährt dann mit Schritt 2506 fort, der ähnlich wie Schritt 306 in 3A ist, wobei eine MLI-Struktur über der Transistorstruktur gebildet ist. Die MLI-Struktur kann Leiterbahnen, leitfähige Durchkontaktierungen und/oder dazwischenliegende Dielektrikumschichten (z. B. ILD-Schichten) umfassen. Die MLI-Struktur kann eine physikalische und elektrische Verbindung zum Transistor (d. h. dem FET) herstellen. Das Verfahren 2500 fährt dann mit Schritt 2508 fort, der ähnlich wie Schritt 308 in 3A ist, wobei ein Trägersubstrat an der Vorderseite (F) angebracht ist. Mit anderen Worten, das Trägersubstrat ist an der MLI-Struktur angebracht. Das Trägersubstrat kann die Vorderseite (F) bei nachfolgenden Schritten schützen. In einer Ausführungsform ist das Trägersubstrat mit der MLI-Struktur verbunden. Das Trägersubstrat kann durch Fusion, Diffusion, Eutektikum und/oder andere geeignete Bondingverfahren angebracht werden.
  • Das Verfahren 2500 fährt dann mit Schritt 2510 fort, der ähnlich wie Schritt 326 in 3B ist, wo das Trägersubstrat ausgedünnt wird. In einem Beispiel wird das Trägersubstrat durch Schleifen ausgedünnt. Es ist zu beachten, dass auch andere Verfahren wie z. B. CMP angewandt werden können. Die Dicke des Trägersubstrats wird in Übereinstimmung mit Schritt 2512 gewählt, der weiter unten beschrieben wird. Das Verfahren 2500 fährt dann mit Schritt 2512 fort, der ähnlich wie Schritt 328 ist, wo eine TSV-Struktur durch das Trägersubstrat erzeugt und mit der MLI-Struktur verbunden wird. Der TSV wird zur Bereitstellung elektrischer Verbindungen und zur Wärmeableitung für das Biosensorsystem-Package verwendet. In einer Ausführungsform wird die TSV-Struktur durch die folgenden Operationen gebildet. Zuerst wird durch einen oder mehrere Ätzprozesse eine TSV-Öffnung gebildet, die sich bis zu einer Leiterbahn der MLI-Struktur erstreckt. Nach der Bildung der TSV-Öffnung wird die Auskleidung an den Seitenwänden der TSV-Öffnung als Isolierungsschicht ausgebildet, sodass sich das leitfähige Material der TSV-Struktur und das Trägersubstrat nicht direkt berühren. Danach wird die Diffusionssperrschicht konform auf der Auskleidung und auf dem Boden der TSV-Öffnung ausgebildet. Die Diffusionssperrschicht wird verwendet, um zu verhindern, dass das leitfähige Material, das später gebildet wird, in unerwünschte Regionen wandert. Nachdem die Diffusionssperrschicht gebildet wurde, wird das leitfähige Material verwendet, um die TSV-Öffnung zu füllen. Danach werden die überschüssige Auskleidung, die Diffusionssperrschicht und das leitfähige Material, die sich auf der Außenseite der TSV-Öffnung befinden, durch ein Planarisierungsverfahren, wie z. B. durch einen CMP-Prozess, entfernt.
  • Wie in dem Beispiel aus 26 gezeigt, wird die TSV-Struktur 246 durch das Trägersubstrat 220 an der Vorderseite (F) erzeugt und mit der MLI-Struktur 212 verbunden. Die TSV-Struktur 246 umfasst die Auskleidung 246a, die Diffusionssperrschicht 246b und das leitfähige Material 246c. Das in 26 gezeigte SOI-Substrat 202 an der Rückseite (B) umfasst eine Massensiliziumschicht 204, die vergrabene Oxidschicht (BOX-Schicht) 206 und eine Halbleiterschicht 208 (d. h. die aktive Schicht 208). Die Transistorstruktur (d. h. der FET) 210 wird in einer aktiven Region in der Halbleiterschicht 208 gebildet.
  • Das Verfahren 2500 fährt dann mit Schritt 2514 fort, wo der Wafer umgedreht wird. Nach Schritt 2514 befindet sich die Massensiliziumschicht auf der Oberseite. Das Verfahren 2500 fährt dann mit Schritt 2516 fort, der ähnlich wie Schritt 312 in 3B ist, wo die Massensiliziumschicht entfernt wird. Die Entfernung kann durch mechanische oder chemische Mittel erfolgen. Zu den mechanischen Mitteln gehören beispielsweise Polieren oder Schleifen, wie z. B. CMP. Ein chemisches Mittel ist die Nass- oder Trockenätzung. Wie in dem Beispiel aus 27 gezeigt, wird die Massesiliziumschicht 204 in 26 entfernt. Die vergrabene Oxidschicht 206 befindet sich oben an der Rückseite (B).
  • Das Verfahren 2500 fährt dann mit Schritt 2518 fort, der ähnlich wie Schritt 314 in 3A ist, wobei die vergrabene Oxidschicht so strukturiert ist, dass sie eine Öffnung an der Rückseite (B) bildet. Auf der vergrabenen Oxidschicht wird eine Fotolackstruktur gebildet. In einigen Ausführungsformen schützt die Fotolackstruktur einen Abschnitt der vergrabenen Oxidschicht vor dem anschließenden Nicht-Plasmaätzen, um die aktive Region der in Schritt 2504 gebildeten Transistorstruktur zu freizulegen. Die Nicht-Plasma-Ätzung kann eine Nass- oder Trockenätzung sein, die kein Plasma umfasst. Bei einigen Ausführungen kann ein zweistufiges Ätzverfahren eingesetzt werden, um die Öffnung an der Rückseite (B) zu bilden. Der erste Ätzschritt umfasst Plasma und der zweite Ätzschritt ist eine Nicht-Plasma-Ätzung. Wie in dem Beispiel aus 28 gezeigt, bildet die Nicht-Plasma-Ätzung einen Graben 222 mit einem Boden, der die Kanalregion des FET 210 freilegt. Zur Vermeidung von plasmainduzierten Schäden (PID) an der freiliegenden Oberfläche der Kanalregion 219 wird eine Nicht-Plasma-Ätzung verwendet.
  • Das Verfahren 2500 fährt dann mit Schritt 2520 fort, der ähnlich wie Schritt 316 ist, wo eine Grenzflächenschicht aufgebracht wird. In einer Ausführungsform ist die Grenzfläche eine Materialschicht mit hohem k-Wert. Die Grenzfläche ist kompatibel (z. B. freundlich) für die Bindung von Biomolekülen oder Bioentitäten. Zum Beispiel kann die Grenzfläche eine bindende Grenzfläche für Biomoleküle oder Bioentitäten darstellen. In einigen Ausführungsformen umfasst die Grenzflächenschicht mehrere Schichten. Zum Beispiel kann die Grenzfläche ein Dielektrikum (z. B. ein Material mit hohem k-Wert), ein leitfähiges Material und/oder ein anderes geeignetes Material zur Aufnahme eines Rezeptors umfassen. Die Grenzflächenschicht kann mit CMOS-Prozessen wie z. B. PVD (Sputtern), CVD, PECVD, APCVD, LPCVD, HDPCVD oder ALCVD gebildet werden. Wie in dem Beispiel aus 28 gezeigt, wird die Grenzflächenschicht 224 (z. B. eine Materialschicht mit hohem k-Wert) auf der freiliegenden Oberfläche des Grabens 222 und der freiliegenden Oberfläche der aktiven Region des FET 210 gebildet. Zusätzlich wird die Grenzflächenschicht 224 über die gesamte Oberfläche der vergrabenen Oxidschicht 206 abgeschieden.
  • Alternativ wird bei Schritt 2522, der ähnlich wie Schritt 318 in 3A ist, eine Grenzfläche abgeschieden, während einige Bonding-Stellen freigelegt werden. Die Bonding-Stellen werden zum Bonding einer mikrofluidischen Kanalkappenstruktur auf die Rückseite (B) verwendet, die unten in Schritt 2524 ausführlich beschrieben wird. Es ist zu beachten, dass die Frage, ob Bonding-Stellen erforderlich sind, von den spezifischen Bonding-Anforderungen abhängt.
  • Wie in dem Beispiel aus 28 gezeigt, wird die Grenzflächenschicht 224 (z. B. eine Materialschicht mit hohem k-Wert) auf der freiliegenden Oberfläche des Grabens 222 und der freiliegenden Oberfläche der aktiven Region des FET 210 gebildet. Mit anderen Worten, die vergrabene Oxidschicht 206 wird von der Grenzflächenschicht 224 bedeckt. Es ist zu beachten, dass in einigen Ausführungsformen Bonding-Stellen eingesetzt werden können.
  • Das Verfahren 2500 fährt dann mit Schritt 2524 fort, der ähnlich wie Schritt 320 in 3A ist. In einer Ausführungsform umfasst der Schritt 2524 die Schritte 352 bis 366 aus 3C. Der Schritt 2524 ist mit CMOS-Prozessen kompatibel. Das Verfahren 2500 fährt dann mit Schritt 2526 fort, der ähnlich wie Schritt 322 in 3A ist, wobei die mikrofluidische Kanalkappenstruktur auf die Rückseite des Biosensorsystem-Packages gebondet wird. Insbesondere ist die mikrofluidische Kanalkappenstruktur mit der vergrabenen Oxidschicht verbunden. Bei anderen Ausführungsformen bildet eine Zwischenverbindungsschicht, die auf der vergrabenen Oxidschicht abgeschieden und strukturiert wird, eine Grenzfläche zu den Bonding-Bereichen des Kappenstruktursubstrats. Die mikrofluidische Kanalkappenstruktur kann mit der Rückseite des Biosensorsystem-Packages mittels Fusionsbindung, eutektischer Bindung, anodischer Bindung und/oder anderer geeigneter Bondingverfahren verbunden werden.
  • Das Verfahren 2500 fährt dann mit Schritt 2528 fort, der ähnlich wie Schritt 332 ist, wo die mikrofluidische Kanalkappenstruktur ausgedünnt wird, um die Einlass-/Auslassports zu bilden. Die mikrofluidische Kanalkappenstruktur wird durch ein beliebiges geeignetes Verfahren wie Schleifen und CMP ausgedünnt. Nach dem Ausdünnen der mikrofluidischen Kanalkappenstruktur werden die Einlass-/Auslasskanäle freigelegt, um die Einlass-/Auslassports zu bilden.
  • Alternativ dazu kann in Schritt 2530, ähnlich wie in Schritt 334, die mikrofluidische Kanalkappenstruktur zuerst ausgedünnt und dann bestimmte Bereiche geätzt werden, um die Einlass-/Auslassports zu bilden. Einige Ein- und Auslasskanäle werden jedoch aufgrund der Abdeckung des Fotolacks im Ätzprozess nicht geätzt und bilden daher eine zweite Kammerhöhe, die höher ist als die Höhe der Kammer (z. B. die Kammer 244, wie in 29 gezeigt). Die mikrofluidische Kanalkappenstruktur wird zuerst durch ein beliebiges geeignetes Verfahren wie Schleifen und CMP ausgedünnt. Die Ausdünnungstiefe wird jedoch so gewählt, dass die Einlass-/Auslasskanäle nach der Ausdünnung nicht freigelegt werden. In einem Beispiel, in dem zwei Einlass-/Auslasskanäle hergestellt wurden, werden bestimmte Bereiche (z. B. die Region über einem der beiden Einlass-/Auslasskanäle) geätzt, um einen der beiden Einlass-/Auslasskanäle freizulegen und so einen Einlass-/Auslassport zu bilden. Die Region über dem anderen Einlass-/Auslasskanal ist jedoch nicht geätzt und bildet daher eine zweite Kammerhöhe. Die Höhe der zweiten Kammer entspricht der Höhe der Kammer plus der Höhe des Einlass-/Auslasskanals. Auch hier bietet der alternative Schritt 2530, der dem Schritt 334 ähnelt, eine höhere Flexibilität und kann im Vergleich mit Schritt 2528 auf eine Kammer mit zwei verschiedenen Höhen (d. h. die Kammerhöhe und die Höhe der zweiten Kammer) angewendet werden. So kann eine Kammer über den Einlass-/Auslassport nach außen verbunden werden. Wie in dem Beispiel aus 29 gezeigt, ist an der Rückseite (B) eine mikrofluidische Kanalkappenstruktur 228 gebondet. Eine Kammer 244 mit zwei verschiedenen Höhen innerhalb eines Kappenstruktursubstrats 230 ist nach außen über einen Einlass-/Auslasskanal 240' verbunden.
  • Das Verfahren 2500 fährt dann mit Schritt 2532 fort, wo der Wafer umgedreht wird. Nachdem der Wafer umgedreht wurde, befinden sich das Trägersubstrat und die TSV-Struktur auf der Oberseite. Dann fährt das Verfahren 2500 mit Schritt 2534 fort, wo ein separater Chip auf die TSV-Struktur gebondet wird. Der separate Chip kann jeder beliebige Chip sein, der als Abschnitt des Biosensorsystems wirkt. In einer Ausführungsform ist der separate Chip ein RAM und ein Datenverarbeitungschip. Der separate Chip kann durch geeignete Verfahren mit der TSV-Struktur verbunden werden. In einer Ausführungsform wird der separate Chip durch Lötbump-Bonding mit der TSV-Struktur verbunden. Wie in dem Beispiel aus 30 gezeigt, wird ein RAM- und Datenverarbeitungschip 250 durch Lötbumps auf die TSV-Struktur 246 gebondet (unter Verwendung eines Lötbumps 248), und der Wafer wird anschließend zurückgedreht. So wird das Biosensorsystem-Package 3000 nach dem Verfahren 2500 hergestellt.
  • 31 ist ein Querschnittsdiagramm, das ein Biosensorsystem-Package 3100 nach einigen Ausführungsformen illustriert. 32A und 32B sind Ablaufdiagramme, die ein Verfahren 3200 zur Herstellung des Biosensorsystem-Packages aus 31 nach einigen Ausführungsformen illustrieren. 32C ist ein Ablaufdiagramm, das einen Schritt der Herstellung einer mikrofluidischen Kanalkappenstruktur des Verfahrens 3200 aus und 32A bis 32B nach einigen Ausführungsformen illustriert. 33 bis 47 sind ein Querschnittsdiagramm, die das Biosensor-Package, das nach einem oder mehreren der Schritte des Verfahrens aus 32A und 32B konstruiert wurde, nach einigen Ausführungsformen illustrieren. Es ist anzumerken, dass 31 und 33-47 schematisch und nicht maßstabsgetreu gezeichnet sind. Anders als das Biosensorsystem-Package 200 aus 2 wird ein separater Chip auf die Rückseite (B) verbunden und über eine Durchkontaktierungsstruktur 246 durch eine mikrofluidische Kanalkappenstruktur 228 mit einer MLI-Struktur 212 verbunden. Da viele Strukturen und Schritte den oben beschriebenen ähnlich sind, werden im Folgenden die Unterschiede betont.
  • Wie in 31 gezeigt, weist das Biosensorsystem-Package 3100 eine Vorderseite (F) und eine Rückseite (B) auf. In dem in 31 gezeigten Beispiel umfasst das Biosensorsystem-Package 3100 u. a. eine vergrabene Oxidschicht (BOX-Schicht) 206 und eine Halbleiterschicht 208, eine Transistorstruktur (d. h. einen FET) 210, eine MLI-Struktur 212, ein Trägersubstrat 220, einen Graben 222, drei Bondinggräben 223, eine Interface-Schicht (z. B. eine Materialschicht mit hohem k-Wert) 224, eine mikrofluidische Kanalkappenstruktur 228, eine Umverteilungsschicht (RDL) 268, ein Lötbump 248 und ein separater Chip (z. B. ein RAM- und Datenverarbeitungschip) 250. Die mikrofluidische Kanalkappenstruktur 228 ist an der Rückseite (B) angebracht. Die mikrofluidische Kanalkappenstruktur 228 umfasst unter anderem eine Kappenstruktur 230, eine Kammer 244, Einlass-/Auslassports 240', eine TSV-Struktur 246, eine Säulenstruktur 254, zwei Bondringe 256, eine Oxidschicht 258 und eine obere Oxidschicht 259. Die Kammer 244 kann zu prüfende Flüssigkeitsproben aufnehmen. Die Einlass-/Auslassports 240' können über einen oder mehrere Schläuche 252 mit Pumpen und/oder Behältern verbunden werden. Der separate Chip 250 ist über die TSV-Struktur 246 und die Säulenstruktur 254 mit mindestens einer der Leiterbahnen auf der ersten Metallschicht (z. B. Leiterbahnen auf der ersten Metallschicht „M1“) 214 der MLI-Struktur 212 verbunden. Die mikrofluidische Kanalkappenstruktur 228 ist über die Säulenstruktur 254 und die Bondringe 256 mit den Leiterbahnen 214 der MLI-Struktur 212 verbunden. Details zu den Bestandteilen des Biosensorsystem-Packages 3100 werden nachstehend mit Verweis auf 32A bis 32C und 33 bis 47 beschrieben.
  • Wie in 32A und 32B gezeigt, wird das Verfahren 3200 verwendet, um ein Biosensorsystem-Package 3100 herzustellen, wie in 31 gezeigt. Es ist zu beachten, dass weitere Schritte vor, während und nach dem Verfahren 3200 bereitgestellt werden können, und einige der nachfolgend beschriebenen Schritte können für weitere Ausführungsformen des Verfahrens ersetzt oder eliminiert werden. Weiterhin ist zu beachten, dass das Verfahren 3200 Schritte umfasst, die Merkmale eines typischen CMOS-Technologie-Prozessablaufs aufweisen und daher hier nur kurz beschrieben werden.
  • Das Verfahren 3200 beginnt mit Schritt 3202, bei dem ein Substrat bereitgestellt wird. Das Substrat umfasst eine Massensiliziumschicht, eine vergrabene Oxidschicht (z. B. die in 31 gezeigte vergrabene Oxidschicht 206), und eine Halbleiterschicht 208 (z. B. die in 31 gezeigte Halbleiterschicht). Im Schritt 3204 wird eine Transistorstruktur (z. B. ein FET 210, wie in 31 gezeigt) auf dem Substrat gebildet. Im Schritt 3206 wird eine MLI-Struktur (z. B. die MLI-Struktur 212, wie in 31 gezeigt) über der Transistorstruktur gebildet. In Schritt 3208 wird ein Trägersubstrat (z. B. das in 31 gezeigte Trägersubstrat 220) an der Vorderseite (F) des Biosensorsystem-Packages angebracht. In Schritt 3210 wird der Wafer umgedreht. In Schritt 3212 wird die Massensiliziumschicht des Substrats entfernt. In Schritt 3214 wird die vergrabene Oxidschicht des Substrats so strukturiert, dass sie eine Öffnung bildet (z. B. den Graben 222 in 31) an der Rückseite (B) des Packages des Biosensorsystems. In Schritt 3216 wird eine Grenzflächenschicht (z. B. die in 31 gezeigte Grenzflächenschicht 224, die in einem Beispiel eine Materialschicht mit hohem k-Wert ist) abgeschieden.
  • Das Verfahren 3200 fährt dann mit Schritt 3218 fort. In Schritt 3218 werden die Grenzflächenschicht, die vergrabene Oxidschicht, die Halbleiterschicht und die oberste dazwischenliegende Dielektrikumschicht (z. B. die oberste dazwischenliegende Dielektrikumschicht 218 wie in 31 gezeigt) der MLI-Struktur strukturiert und geätzt, um Bondinggräben (z. B. Bondinggräben 223, die in 31 gezeigt sind) zum Freilegen von Leiterbahnen an der ersten Metallschicht der MLI-Struktur und zum Aufnehmen von Bondringen (z. B. die in 31 256 gezeigten Bondringe) und eine Säulenstruktur (z. B. die in 31 gezeigte Säulenstruktur 254) zu bilden.
  • Das Verfahren 3200 fährt dann mit Schritt 3220 fort, wo eine mikrofluidische Kanalkappenstruktur hergestellt wird. In einer Ausführungsform umfasst Schritt 3220 die in 32C gezeigten Schritte 3252 bis 3272. In Schritt 3252 wird ein Kappenstruktursubstrat bereitgestellt. In Schritt 3254 wird eine Oxidschicht auf dem Kappenstruktursubstrat und eine Nitridschicht auf der Oxidschicht abgeschieden. In dem Beispiel aus 33 wird die Oxidschicht 258 auf dem Substrat 230 der Kappenstruktur abgeschieden und die Nitridschicht 260 wird auf der Oxidschicht 258 abgeschieden. In einer Ausführungsform ist das Kappenstruktursubstrat 230 ein hoch dotiertes und leitfähiges Siliziumsubstrat. In einer Ausführungsform reicht die Dicke der Oxidschicht 258 von 100 nm bis 300 nm und die Dicke der Nitridschicht 260 von 100 nm bis 300 nm.
  • In Schritt 3256 werden die Oxidschicht und die Nitridschicht strukturiert und geätzt, um Bereiche zu definieren, die den Bondingringen, der Säulenstruktur und der Kammer entsprechen. In dem Beispiel aus 34 werden die Oxidschicht 258 und die Nitridschicht 260 strukturiert und geätzt. Die definierten Bereiche, in denen die Oxidschicht 258 und die Nitridschicht 260 verbleiben, entsprechen der Säulenstruktur, den Bondingringen bzw. der Kammer.
  • In Schritt 3258 werden um den Bereich, der der Säulenstruktur entspricht, Isolierungsgräben geätzt. In dem in 35 gezeigten Beispiel werden zwei Isolierungsgräben 262 um den Bereich, der der Säulenstruktur entspricht, durch Trockenätzung oder Nassätzung gebildet. In Schritt 3260 wird das Oxid in den Isolierungsgräben thermisch aufgebaut. Thermisches Oxid wird nicht in Regionen aufgebaut, die durch die Nitridschicht bedeckt sind. In einer Ausführungsform ist die Dicke des thermischen Oxids um eine Größenordnung dicker als die der vom Nitrid bedeckten Oxidschicht. Außerdem wird thermisches Oxid auf allen Seiten des Kappenstruktursubstrats aufgebaut. In dem in 36 gezeigten Beispiel wird in den Isolierungsgräben 262 eine (thermische) Oxidschicht 258 aufgebaut.
  • In Schritt 3262 werden die Nitridschicht und die Oxidschicht in definierten Bereichen zurückgeätzt. In dem in 37 gezeigten Beispiel werden das Kappenstruktursubstrat 230 in definierten Bereichen freigelegt. In Schritt 3264 wird eine Polysiliziumschicht deckend abgeschieden. Die Polysiliziumschicht in definierten Bereichen, die der Säulenstruktur und den Bondringen entsprechen, dient später als Abschnitt der Säulenstruktur bzw. der Bondringe. In dem in 38 gezeigten Beispiel wird die Polysiliziumschicht 264 deckend und sowohl über die definierten als auch über die nicht definierten Bereiche abgeschieden. In einer Ausführungsform reicht die Dicke der Polysiliziumschicht 264 von 3 µm bis 5 µm.
  • In Schritt 3266 wird das native Oxid der Polysiliziumschicht entfernt und eine Zwischenschicht wird deckend abgeschieden. Die native Oxidschicht kann sich bilden, wenn eine siliziumhaltige Oberfläche den Umgebungsbedingungen oder Sauerstoff ausgesetzt wird. Das Vorliegen des nativen Oxids des Polysiliziums kann den Widerstand zwischen dem Polysilizium und der Zwischenschicht erhöhen, was nicht erwünscht ist. In einer Ausführungsform wird das native Oxid der Polysiliziumschicht durch Nassprozesse wie die Behandlung des nativen Oxids mit verdünnter Flusssäure (HF) entfernt. Die Zwischenschicht ist aus einem beliebigen für eutektische Bondings geeigneten Material hergestellt. In einer Ausführungsform ist die Zwischenschicht aus Germanium (Ge) hergestellt. In dem Beispiel aus 39 wird die Zwischenschicht 266 nach Entfernung des nativen Oxids auf der Polysiliziumschicht 264 deckend abgeschieden.
  • In Schritt 3268 werden die Bereiche, die den Bondringen und der Säulenstruktur entsprechen, strukturiert und die Zwischenschicht und die Polysiliziumschicht in anderen unstrukturierten Bereichen werden verschoben. In dem Beispiel aus 40 ragen die Säulenstruktur 254 und die Bondringe 256 nach dem Entfernen der Zwischenschicht 266 und der Polysiliziumschicht 264 in anderen unstrukturierten Bereichen hervor. Die Säulenstruktur 254 und die Bondringe 256 umfassen beide einen Abschnitt der Polysiliziumschicht 264 und einen Abschnitt der Zwischenschicht 266 auf dem Abschnitt der Polysiliziumschicht 264. Optional wird das Kappenstruktursubstrat 230 im Bereich um die Bondringe 256 und in dem Bereich, der der Kammer entspricht, geätzt.
  • In Schritt 3270 wird die global Hohlraumregion strukturiert und geätzt, um die Kammer zu bilden. In dem Beispiel aus 41 wird die globale Hohlraumregion 232 strukturiert und das Kappenstruktursubstrat 230 in der globalen Hohlraumregion 232 geätzt, um die Kammer 244 zu bilden. Die Kammertiefe (CD) der Kammer 244 ist kleiner als die Säulenstrukturtiefe (PD) der Säulenstruktur 254.
  • Optional wird in Schritt 3272 eine Oxidmaterialschicht mit hohem k-Wert auf der Bodenfläche und den Seitenwänden der Kammer abgeschieden. Schritt 3272 ist je nach Anwendung optional. In einer Ausführungsform kann Schritt 3272 durch ganzflächiges Abscheiden der Materialschicht mit hohem k-Wert und Ätzen der Materialschicht mit hohem k-Wert bei gleichzeitiger Blockierung des Kammerbereichs mit einem Fotolack-Sprühbeschichter durchgeführt werden. In einer Ausführungsform reicht die Dicke des Oxidmaterials mit hohem k-Wert von 2 nm bis 3 nm. In dem Beispiel aus 42 bedeckt das Oxidmaterial mit hohem k-Wert 242 die Bodenfläche und die Seitenwände der Kammer 244. So wird die mikrofluidische Kanalkappenstruktur 228 hergestellt.
  • Mit Blick zurück auf 32A und 32B wird in Schritt 3222 die mikrofluidische Kanalkappenstruktur auf die Rückseite des Biosensorsystem-Packages gebondet. In einer Ausführungsform erfolgt das Bonding durch eutektisches Bonding, und die Zwischenschichten 266 am oberen Ende der Säulenstruktur und die Bondingringe werden zur Herstellung eines eutektischen Systems verwendet. Wie in dem Beispiel in 43 gezeigt, ist an der Rückseite (B) des Biosensorsystem-Package die mikrofluidische Kanalkappenstruktur 228 unter Verwendung von eutektischem Bonding gebondet. Speziell werden die Säulenstruktur 254 und die Bondringe 256 in Bondinggräben 223 untergebracht und an der ersten Metallschicht M1 der MLI-Struktur 212 auf die Leiterbahn 214 gebondet. Es ist anzumerken, dass 47 nicht maßstabsgetreu gezeichnet ist. In einem nicht einschränkenden Beispiel ist die Tiefe des Bondinggrabens 2 µm; die Höhen der Säulenstruktur 254 und der Bondringe 256 sind beide 4 µm; die Dicke des Trägersubstrats 220 reicht von 300 µm bis 750 µm; die Dicke der MLI-Struktur 212 ist 10 µm; die Dicke des Kappenstruktursubstrats reicht von 500 µm bis 750 µm.
  • In Schritt 3224 wird der obere Abschnitt der mikrofluidischen Kanalkappenstruktur ausgedünnt. Die mikrofluidische Kanalkappenstruktur kann durch ein beliebiges geeignetes Verfahren wie Schleifen und CMP ausgedünnt werden. In dem Beispiel aus 44 wird nach Ausdünnung der mikrofluidischen Kanalkappenstruktur 228 die TSV-Struktur oben an der Rückseite (B) freigelegt.
  • In Schritt 3226 wird eine obere Oxidschicht strukturiert und auf dem oberen Abschnitt der mikrofluidischen Kanalkappenstruktur abgeschieden, um eine Kontaktöffnung für die Säulenstruktur zu schaffen. Im Beispiel in 45 wird die obere Oxidschicht 259 über die gesamte obere Oberfläche des Kappenstruktursubstrats 230 mit Ausnahme einer Kontaktöffnung 270 abgeschieden. So ist die TSV-Struktur 246 von anderen Abschnitten des Kappenstruktursubstrats 230 elektrisch isoliert.
  • In Schritt 3228 wird eine Umverteilungsschicht (RDL) strukturiert und über der Kontaktöffnung abgeschieden. Allgemein stellen Umverteilungsschichten eine leitfähige Struktur bereit, das eine Pin-out-Kontaktstruktur für ein fertiges Package ermöglicht, das sich von der Struktur der Durchkontaktierungsstrukturen unterscheidet, wodurch eine größere Flexibilität bei der Platzierung von Durchkontaktierungen und Dies ermöglicht wird. Umverteilungsschichten umfassen Leiterbahnen und Durchkontaktierungen, wobei Durchkontaktierungen eine darüber liegende Leitung mit einem darunter liegenden leitfähigen Merkmal verbinden. In dem Beispiel aus 46 wird die Umverteilungsschicht 268 strukturiert und über der Kontaktöffnung 270 abgeschieden. Die Umverteilungsschicht 268 ist elektrisch mit dem hochdotierten Kappenstruktursubstrat 230 in der TSV-Struktur 246 verbunden.
  • In Schritt 3230 werden die obere Oxidschicht und das Kappenstruktursubstrat strukturiert und geätzt, um Einlass-/Auslassports zu bilden. Bestimmte Bereiche der oberen Oxidschicht 259 und des Kappenstruktursubstrats 230 werden geätzt, um einen oder mehrere Ein- und Auslassports zu bilden. So werden die Einlass- und Auslassports gebildet. In dem Beispiel aus 47 wird der Einlass-/Auslassport 240' durch Ätzen der oberen Oxidschicht 259 und des Kappenstruktursubstrats 230 in einem bestimmten Bereich innerhalb der Kammer 244 gebildet.
  • In Schritt 3232 wird ein separater Chip auf die Umverteilungsschicht gebondet. Der separate Chip kann jeder beliebige Chip sein, der als Abschnitt des Biosensorsystems wirkt. Der separate Chip kann durch geeignete Verfahren mit der Umverteilungsschicht verbunden werden. Wie in dem Beispiel aus 31 gezeigt, wird ein RAM- und Datenverarbeitungschip 250 durch Lötbumps auf die Umverteilungsschicht 268 gebondet (unter Verwendung eines Lötbumps 248). So ist der RAM- und Datenverarbeitungschip 250 durch den folgenden elektrischen Pfad mit der MLI-Struktur verbunden: die Umverteilungsschicht 268, das Kappenstruktursubstrat 230 der TSV-Struktur 246, die Säulenstruktur 254 und die Leiterbahn 214 an der ersten Metallschicht. So wird das Biosensorsystem-Package 3100 nach dem Verfahren 3200 hergestellt.
  • 48 ist ein Querschnittsdiagramm, das ein Biosensorsystem-Package 4800 nach einigen Ausführungsformen illustriert. Das Biosensorsystem-Package 4800 ist eine Kombination aus dem Biosensorsystem-Package 200 in 2 und dem Biosensorsystem-Package 3100 in 31. Speziell ist das Biosensorsystem-Package 4800 mit einem separaten Chip an der Vorderseite (unter Verwendung einer ersten TSV-Struktur durch das Trägersubstrat) und einem weiteren separaten Chip an der Rückseite (unter Verwendung einer zweiten TSV-Struktur durch das Kappenstruktur-Substrat) verbunden. Mit anderen Worten wird eine „Drei-Chip-plus-Mikrofluidik“-Struktur geschaffen. Das Herstellungsverfahren des Biosensorsystem-Packages 4800 ist eine Kombination des Verfahrens 300 in 3A bis 3B und des Verfahrens 3200 in 32A bis 32B, und wird daher der Einfachheit halber nicht ausführlich beschrieben.
  • Wie in 48 gezeigt, weist das Biosensorsystem-Package 4800 eine Vorderseite (F) und eine Rückseite (B) auf. In dem in 48 gezeigten Beispiel umfasst das Biosensorsystem-Package 4800 u. a. eine vergrabene Oxidschicht (BOX-Schicht) 206 und eine Halbleiterschicht 208, eine Transistorstruktur (d. h. einen FET) 210, eine MLI-Struktur 212, ein Trägersubstrat 220, einen Graben 222, drei Bondinggräben 223, eine Interface-Schicht (z. B. eine Materialschicht mit hohem k-Wert) 224, eine mikrofluidische Kanalkappenstruktur 228, eine Umverteilungsschicht (RDL) 268, zwei Lötbumps 248-1 und 248-2 und zwei separate Chips (z. B. ein RAM- und Datenverarbeitungschip) 250-1 und 250-2. Die mikrofluidische Kanalkappenstruktur 228 ist an der Rückseite (B) angebracht. Die mikrofluidische Kanalkappenstruktur 228 umfasst unter anderem eine Kappenstruktur 230, eine Kammer 244, Einlass-/Auslassports 240', eine zweite TSV-Struktur 246-2, eine Säulenstruktur 254, zwei Bondringe 256, eine Oxidschicht 258 und eine obere Oxidschicht 259. Die Kammer 244 kann zu prüfende Flüssigkeitsproben aufnehmen. Die Einlass-/Auslassports 240' können über einen oder mehrere Schläuche mit Pumpen und/oder Behältern verbunden werden. Der erste separate Chip 250-1 wird unter Verwendung der ersten TSV-Struktur 246-1 über das Trägersubstrat 220 mit der MLI-Struktur 212 verbunden. Der zweite separate Chip 250-2 ist über die zweite TSV-Struktur 246-2 und die Säulenstruktur 254 mit mindestens einer der Leiterbahnen auf der ersten Metallschicht (z. B. Leiterbahnen auf der ersten Metallschicht „M1“) 214 der MLI-Struktur 212 verbunden. Die mikrofluidische Kanalkappenstruktur 228 ist über die Säulenstruktur 254 und die Bondringe 256 mit den Leiterbahnen 214 der MLI-Struktur 212 verbunden.
  • Ausführungsformen nach der Offenbarung umfassen ein Biosensorsystem-Package. Das Biosensorsystem-Package umfasst: eine Transistorstruktur in einer Halbleiterschicht mit einer Vorderseite und einer Rückseite, wobei die Transistorstruktur einer Kanalregion umfasst; eine Mehrschichten-Interconnect-Struktur (MLI-Struktur) an der Vorderseite der Halbleiterschicht, wobei die Transistorstruktur elektrisch mit der MLI-Struktur verbunden ist; ein Trägersubstrat auf der MLI-Struktur; eine erste Substratdurchkontaktierungsstruktur (TSV-Struktur), die sich durch das Trägersubstrat erstreckt und konfiguriert ist, um eine elektrische Verbindung zwischen der MLI-Struktur und einem separaten Die bereitzustellen; eine vergrabene Oxidschicht (BOX-Schicht) an der Rückseite der Halbleiterschicht, wobei die vergrabene Oxidschicht eine Öffnung an der Rückseite der Kanalregion aufweist und eine Grenzflächenschicht die Rückseite über der Kanalregion bedeckt; und eine mikrofluidische Kanalkappenstruktur, die an der vergrabenen Oxidschicht angebracht ist.
  • Die weiteren Ausführungsformen umfassen ein Biosensorsystem-Packages. Das Biosensorsystem-Package umfasst: eine Transistorstruktur in einer Halbleiterschicht mit einer Vorderseite und einer Rückseite, wobei die Transistorstruktur einen Kanalregion umfasst; eine Mehrschichten-Interconnect-Struktur (MLI-Struktur) an der Vorderseite der Halbleiterschicht, die eine erste Leiterbahn und eine zweite Leiterbahn an einer ersten Metallschicht (M1-Schicht) umfasst, wobei die Transistorstruktur elektrisch mit der MLI-Struktur verbunden ist; ein Trägersubstrat auf der MLI-Struktur; eine vergrabene Oxidschicht (BOX-Schicht) an der Rückseite der Halbleiterschicht, wobei die vergrabene Oxidschicht eine Öffnung, einen ersten Bondinggraben und einen zweiten Bondinggraben an der Rückseite der Kanalregion aufweist und wobei eine Grenzflächenschicht die Rückseite über der Kanalregion bedeckt; eine mikrofluidische Kanalkappenstruktur, die an der ersten Leiterbahn und der zweiten Leiterbahn angebracht ist; und eine zweite Substratdurchkontaktierungsstruktur (TSV-Struktur), die sich durch die mikrofluidische Kanalkappenstruktur erstreckt und so konfiguriert ist, dass sie eine elektrische Verbindung zwischen der MLI-Struktur und einem separaten Die bereitstellt.
  • Die weiteren Ausführungsformen umfassen ein Verfahren zum Herstellen eines Biosensorsystem-Packages. Das Verfahren umfasst: Bereitstellen eines Substrats, wobei das Substrat eine Halbleiterschicht mit einer Vorderseite und einer Rückseite, eine vergrabene Oxidschicht (BOX-Schicht) an der Rückseite und eine Massensiliziumschicht an der Rückseite umfasst; Bilden einer Transistorstruktur auf dem Substrat, wobei sich eine Kanalregion der Transistorstruktur in der Halbleiterschicht befindet; Bilden einer Mehrschichten-Interconnect-Struktur (MLI-Struktur) an der Vorderseite der Halbleiterschicht, wobei die MLI-Struktur elektrisch mit der Transistorstruktur verbunden ist; Anbringen eines Trägersubstrats an der MLI-Struktur; Entfernen der Massensiliziumschicht; Ätzen der vergrabenen Oxidschicht, um eine Öffnung an der Rückseite über der Kanalregion zu bilden; Abscheiden einer Grenzflächenschicht an der Rückseite über der Kanalregion; Herstellen einer mikrofluidischen Kanalkappenstruktur; Verbinden der mikrofluidischen Kanalkappenstruktur mit der BOX-Schicht; Ausdünnen des Trägersubstrats; Erzeugen einer ersten Durchkontaktierungssubstratstruktur (TSV-Struktur), die sich durch das Trägersubstrat erstreckt, wobei die erste TSV-Struktur elektrisch mit der MLI-Struktur verbunden ist; und Ausdünnen der mikrofluidischen Kanalkappenstruktur, um mindestens eine Öffnung für das Einströmen und Ausströmen von Fluidproben zu bilden.
  • Obiges umschreibt Merkmale mehrerer Ausführungsformen, mit denen Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen. Fachleute auf dem Gebiet sollten verstehen, dass sie diese Offenbarung leicht als Grundlage für das Design oder die Änderung anderer Prozesse und Strukturen verwenden können, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der hierin eingeführten Ausführungsformen zu erreichen. Fachleute auf dem Gebiet sollten außerdem verstehen, dass solche entsprechenden Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hieran vornehmen können, ohne vom Geist und Umfang dieser Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/967890 [0001]

Claims (20)

  1. Biosensorsystem-Package, aufweisend: eine Transistorstruktur in einer Halbleiterschicht mit einer Vorderseite und einer Rückseite, wobei die Transistorstruktur eine Kanalregion aufweist; eine Mehrschichten-Interconnect-Struktur (MLI-Struktur) an der Vorderseite der Halbleiterschicht, wobei die Transistorstruktur elektrisch mit der MLI-Struktur verbunden ist; ein Trägersubstrat auf der MLI-Struktur; eine erste Substratdurchkontaktierungsstruktur (TSV-Struktur), die sich durch das Trägersubstrat erstreckt und derart eingerichtet ist, dass sie eine elektrische Verbindung zwischen der MLI-Struktur und einem separaten Die herstellt; eine vergrabene Oxidschicht (BOX-Schicht) an der Rückseite der Halbleiterschicht, wobei die vergrabene Oxidschicht eine Öffnung an der Rückseite der Kanalregion aufweist und eine Grenzflächenschicht die Rückseite über der Kanalregion bedeckt; und eine mikrofluidische Kanalkappenstruktur, die an der vergrabenen Oxidschicht angebracht ist.
  2. Biosensorsystem-Package aus Anspruch 1, wobei die mikrofluidische Kanalkappenstruktur unter Verwendung eines komplementären Metalloxid-Halbleiterkompatiblen (CMOS-kompatiblen) Prozessablaufs hergestellt ist.
  3. Biosensorsystem-Package aus Anspruch 1 oder 2, wobei die mikrofluidische Kanalkappenstruktur weiterhin aufweist: ein Kappenstruktursubstrat mit einer Kammer, die derart eingerichtet ist, dass sie zu prüfende Flüssigkeitsproben aufnimmt; und mindestens einen Port, der die Kammer verbindet und dem Zu- und Abfluss der Flüssigkeitsproben dient.
  4. Biosensorsystem-Package aus Anspruch 3, wobei die mikrofluidische Kanalkappenstruktur weiterhin aufweist: eine Oxidmaterialschicht mit hohem k-Wert, die einen Boden und Seitenwände der Kammer bedeckt.
  5. Biosensorsystem-Package aus einem der vorhergehenden Ansprüche, wobei das Kappenstruktursubstrat Bonding-Bereiche aufweist, die mit Bonding-Stellen der vergrabenen Oxidschicht in Berührung kommen.
  6. Biosensorsystem-Package aus einem der vorhergehenden Ansprüche, wobei die MLI-Struktur aufweist: mehrere dazwischenliegende dielektrische Schichten; mehrere Leiterbahnen, wobei jede Leiterbahn in einer der mehreren dazwischenliegenden dielektrischen Schichten angeordnet ist; und mehrere leitfähige vertikale Interconnect-Zugangsstrukturen (VIA-Strukturen), die die mehreren Leiterbahnen verbinden.
  7. Biosensorsystem-Package aus einem der vorhergehenden Ansprüche, wobei die erste TSV-Struktur aufweist: ein leitfähiges Material; eine Auskleidung, die das leitfähige Material vom Trägersubstrat isoliert; und eine Diffusionssperrschicht zwischen dem leitfähigen Material und der Auskleidung.
  8. Biosensorsystem-Package aus einem der vorhergehenden Ansprüche, ferner aufweisend: den separaten Die, wobei der separate Die elektrisch mit der ersten TSV-Struktur verbunden und derart eingerichtet ist, dass er von der Transistorstruktur gesammelte Daten verarbeitet.
  9. Biosensorsystem-Package aus einem der vorhergehenden Ansprüche, wobei die Grenzfläche eine Schicht aus einem Material mit hohem k-Wert ist.
  10. Biosensorsystem-Package aus einem der vorhergehenden Ansprüche, wobei die Grenzflächenschicht eine Schicht eines Fängerreagens aufweist, das in der Lage ist, einen Zielanalyten in den flüssigen Proben zu binden.
  11. Biosensorsystem-Package, aufweisend: eine Transistorstruktur in einer Halbleiterschicht mit einer Vorderseite und einer Rückseite, wobei die Transistorstruktur eine Kanalregion aufweist; eine Mehrschichten-Interconnect-Struktur (MLI-Struktur) an der Vorderseite der Halbleiterschicht, die eine erste Leiterbahn und eine zweite Leiterbahn auf einer ersten Metallschicht (M1-Schicht) umfasst, wobei die Transistorstruktur elektrisch mit der MLI-Struktur verbunden ist; ein Trägersubstrat auf der MLI-Struktur; eine vergrabene Oxidschicht (BOX-Schicht) an der Rückseite der Halbleiterschicht, wobei die vergrabene Oxidschicht eine Öffnung, einen ersten Bondinggraben und einen zweiten Bondinggraben an der Rückseite der Kanalregion aufweist, und wobei eine Grenzflächenschicht die Rückseite über der Kanalregion bedeckt; eine mikrofluidische Kanalkappenstruktur, die an der ersten Leiterbahn und an der zweiten Leiterbahn angebracht ist; und eine zweite Substratdurchkontaktierungsstruktur (TSV-Struktur), die sich durch die mikrofluidische Kanalkappenstruktur erstreckt und derart eingerichtet ist, dass sie eine elektrische Verbindung zwischen der MLI-Struktur und einem separaten Die herstellt.
  12. Biosensorsystem-Package aus Anspruch 11, wobei die mikrofluidische Kanalkappenstruktur unter Verwendung eines komplementären Metalloxid-Halbleiterkompatiblen (CMOS-kompatiblen) Prozessablaufs hergestellt ist.
  13. Biosensorsystem-Package aus Anspruch 11 oder 12, wobei die mikrofluidische Kanalkappenstruktur weiterhin aufweist: ein Kappenstruktursubstrat mit einer Kammer, die derart eingerichtet ist, dass sie zu prüfende Flüssigkeitsproben aufnimmt; mindestens einen Port, der die Kammer verbindet und dem Zu- und Abfluss der Flüssigkeitsproben dient; eine Säulenstruktur, die in einem ersten Bondinggraben untergebracht ist und in Richtung der ersten Leiterbahn vorsteht, wobei die Säulenstruktur elektrisch mit der zweiten TSV-Struktur verbunden ist; und einen Bondring, der in einem zweiten Bondinggraben untergebracht ist und in Richtung der zweiten Leiterbahn vorsteht.
  14. Biosensorsystem-Package aus Anspruch 13, wobei die Säulenstruktur und der Bondring beide eine leitfähige Schicht und eine Zwischenschicht umfassen, wobei die Zwischenschicht zur eutektischen Bindung verwendet wird.
  15. Biosensorsystem-Package aus Anspruch 14, wobei die leitfähige Schicht aus Polysilizium und die Zwischenschicht aus Germanium hergestellt ist.
  16. Biosensorsystem-Package aus einem der Ansprüche 11 bis 15, wobei die zweite TSV-Struktur aufweist: einen Abschnitt des Kappenstruktursubstrats, wobei der Abschnitt des Kappenstruktursubstrats hoch dotiert ist; und mindestens eine Oxidschicht, die den Abschnitt des Kappenstruktursubstrats umgibt.
  17. Biosensorsystem-Package aus einem der Ansprüche 11 bis 16, ferner aufweisend: den separaten Die, wobei der Die elektrisch mit der zweiten TSV-Struktur verbunden und derart eingerichtet ist, dass er von der Transistorstruktur gesammelte Daten verarbeitet.
  18. Biosensorsystem-Package aus einem der Ansprüche 11 bis 17, wobei die Grenzfläche eine Schicht aus einem Material mit hohem k-Wert ist.
  19. Verfahren zum Herstellen eines Biosensorsystem-Packages, umfassend: Bereitstellen eines Substrats, wobei das Substrat eine Halbleiterschicht umfasst, das eine Vorderseite und eine Rückseite, eine vergrabene Oxidschicht (BOX-Schicht) an der Rückseite und eine Bulk-Siliziumschicht an der Rückseite aufweist; Bilden einer Transistorstruktur auf dem Substrat, wobei sich eine Kanalregion der Transistorstruktur in der Halbleiterschicht befindet; Bilden einer Mehrschichten-Interconnect-Struktur (MLI-Struktur) an der Vorderseite der Halbleiterschicht, wobei die MLI-Struktur elektrisch mit der Transistorstruktur verbunden ist; Anbringen eines Trägersubstrats an der MLI-Struktur; Entfernen der Bulk-Siliziumschicht; Ätzen der vergrabenen Oxidschicht zum Bilden einer Öffnung an der Rückseite über der Kanalregion; Abscheiden einer Grenzflächenschicht an der Rückseite über der Kanalregion; Herstellen einer mikrofluidischen Kanalkappenstruktur; Bonding der mikrofluidischen Kanalkappenstruktur an die BOX-Schicht; Ausdünnen des Trägersubstrats; Erzeugen einer ersten Substratdurchkontaktierungsstruktur (TSV-Struktur), die sich durch das Trägersubstrat erstreckt, wobei die erste TSV-Struktur elektrisch mit der MLI-Struktur verbunden ist; und Ausdünnen der mikrofluidischen Kanalkappenstruktur, um mindestens einen Port für einen Zu- und Abfluss von Fluidproben zu bilden.
  20. Verfahren zum Herstellen eines Biosensorsystem-Packages aus Anspruch 19, wobei das Herstellen der mikrofluidischen Kanalkappenstruktur umfasst: Bereitstellen eines Kappenstruktursubstrats; Ätzen des Kappenstruktursubstrats, um eine globale Hohlraumregion vorzudefinieren, der einer Kammer entspricht; Abscheiden einer Hartmaske auf Bonding-Bereichen des Kappenstruktursubstrats; Strukturieren und Ätzen eines Abschnitts der globalen Hohlraumregion, um mindestens einen Kanal zu bilden, der dem mindestens einen Port entspricht; Ätzen der gesamten globalen Hohlraumregion zum Bilden der Kammer; und Entfernen der Hartmaske.
DE102020130573.7A 2020-01-30 2020-11-19 Wafer-Level-Packaging von Festkörper-Biosensoren, Mikrofluidik und Silizium-Durchkontaktierung Pending DE102020130573A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062967890P 2020-01-30 2020-01-30
US62/967,890 2020-01-30
US17/095,151 US11747298B2 (en) 2020-01-30 2020-11-11 Wafer-level packaging of solid-state biosensor, microfluidics, and through-silicon via
US17/095,151 2020-11-11

Publications (1)

Publication Number Publication Date
DE102020130573A1 true DE102020130573A1 (de) 2021-08-05

Family

ID=76853573

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020130573.7A Pending DE102020130573A1 (de) 2020-01-30 2020-11-19 Wafer-Level-Packaging von Festkörper-Biosensoren, Mikrofluidik und Silizium-Durchkontaktierung

Country Status (5)

Country Link
US (2) US11747298B2 (de)
KR (1) KR102591916B1 (de)
CN (1) CN113270390B (de)
DE (1) DE102020130573A1 (de)
TW (1) TWI807249B (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11488980B2 (en) 2020-08-26 2022-11-01 Globalfoundries U.S. Inc. Wafer with localized semiconductor on insulator regions with cavity structures
US20220093731A1 (en) * 2020-09-22 2022-03-24 Globalfoundries U.S. Inc. Semiconductor on insulator wafer with cavity structures
US20220236261A1 (en) * 2021-01-26 2022-07-28 IceMos Technology Limited Semiconductor Device Providing a Biosensor to Test for Pathogen
CN116429300B (zh) * 2023-06-12 2023-09-22 之江实验室 基于单晶硅和微流道冷却的超高温压力传感芯片及系统

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910473B2 (en) * 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US8222139B2 (en) * 2010-03-30 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) processing of through-silicon via (TSV) and contact plug simultaneously
US8666505B2 (en) * 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
KR101817159B1 (ko) * 2011-02-17 2018-02-22 삼성전자 주식회사 Tsv를 가지는 인터포저를 포함하는 반도체 패키지 및 그 제조 방법
US9689835B2 (en) 2011-10-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Amplified dual-gate bio field effect transistor
US8587077B2 (en) * 2012-01-02 2013-11-19 Windtop Technology Corp. Integrated compact MEMS device with deep trench contacts
US8728844B1 (en) 2012-12-05 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Backside CMOS compatible bioFET with no plasma induced damage
CN104051512B (zh) * 2013-03-14 2017-08-25 台湾积体电路制造股份有限公司 性能增强的背面感测生物场效应晶体管
US9299640B2 (en) 2013-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Front-to-back bonding with through-substrate via (TSV)
US9606081B2 (en) * 2015-03-12 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a micro-well of a biosensor
US10131540B2 (en) 2015-03-12 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method to mitigate soldering offset for wafer-level chip scale package (WLCSP) applications
US9704784B1 (en) * 2016-07-14 2017-07-11 Nxp Usa, Inc. Method of integrating a copper plating process in a through-substrate-via (TSV) on CMOS wafer
KR102138344B1 (ko) 2016-08-09 2020-07-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 유체 분석을 위한 카트리지 및 분석기

Also Published As

Publication number Publication date
KR20210098325A (ko) 2021-08-10
US11747298B2 (en) 2023-09-05
CN113270390A (zh) 2021-08-17
US20210239647A1 (en) 2021-08-05
TWI807249B (zh) 2023-07-01
KR102591916B1 (ko) 2023-10-19
TW202129273A (zh) 2021-08-01
US20230393091A1 (en) 2023-12-07
CN113270390B (zh) 2024-04-05

Similar Documents

Publication Publication Date Title
DE102020130573A1 (de) Wafer-Level-Packaging von Festkörper-Biosensoren, Mikrofluidik und Silizium-Durchkontaktierung
US10184912B2 (en) Backside sensing BioFET with enhanced performance
US10823696B2 (en) Method of fabricating a biological field-effect transistor (BioFET) with increased sensing area
US11486854B2 (en) CMOS compatible BioFET
US11099152B2 (en) Backside CMOS compatible BioFET with no plasma induced damage
EP2331453B1 (de) Mikromechanisches bauelement mit durchgangselektrode und verfahren zu dessen herstellung
CN104049021B (zh) 具有增大的感测面积的biofet
US20240044889A1 (en) Biosensor system with integrated microneedle
CN104051512A (zh) 性能增强的背面感测生物场效应晶体管
US20240151686A1 (en) Biological material sensing semiconductor device
CN110770160B (zh) 流道结构器件及其制造方法

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: B81C0001000000

Ipc: B81B0007000000