TW202129273A - 生物感測器系統封裝件及其製造方法 - Google Patents

生物感測器系統封裝件及其製造方法 Download PDF

Info

Publication number
TW202129273A
TW202129273A TW110102650A TW110102650A TW202129273A TW 202129273 A TW202129273 A TW 202129273A TW 110102650 A TW110102650 A TW 110102650A TW 110102650 A TW110102650 A TW 110102650A TW 202129273 A TW202129273 A TW 202129273A
Authority
TW
Taiwan
Prior art keywords
layer
biosensor system
system package
bonding
substrate
Prior art date
Application number
TW110102650A
Other languages
English (en)
Other versions
TWI807249B (zh
Inventor
華倫 張
戴文川
黃毓傑
黃睿政
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202129273A publication Critical patent/TW202129273A/zh
Application granted granted Critical
Publication of TWI807249B publication Critical patent/TWI807249B/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502707Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by the manufacture of the container or its components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502715Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by interfacing components, e.g. fluidic, electrical, optical or mechanical interfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0061Packages or encapsulation suitable for fluid transfer from the MEMS out of the package or vice versa, e.g. transfer of liquid, gas, sound
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00119Arrangement of basic structures like cavities or channels, e.g. suitable for microfluidic systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • G01N27/4145Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS specially adapted for biomolecules, e.g. gate electrode with immobilised receptors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/53Immunoassay; Biospecific binding assay; Materials therefor
    • G01N33/543Immunoassay; Biospecific binding assay; Materials therefor with an insoluble carrier for immobilising immunochemicals
    • G01N33/54366Apparatus specially adapted for solid-phase testing
    • G01N33/54373Apparatus specially adapted for solid-phase testing involving physiochemical end-point determination, e.g. wave-guides, FETS, gratings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/02Identification, exchange or storage of information
    • B01L2300/023Sending and receiving of information, e.g. using bluetooth
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/06Auxiliary integrated devices, integrated components
    • B01L2300/0627Sensor or part of a sensor is integrated
    • B01L2300/0636Integrated biosensor, microarrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/06Auxiliary integrated devices, integrated components
    • B01L2300/0627Sensor or part of a sensor is integrated
    • B01L2300/0645Electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0214Biosensors; Chemical sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/058Microfluidics not provided for in B81B2201/051 - B81B2201/054
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/015Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • B81B2207/091Arrangements for connecting external electrical signals to mechanical structures inside the package
    • B81B2207/094Feed-through, via
    • B81B2207/096Feed-through, via through the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Molecular Biology (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Hematology (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electrochemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Urology & Nephrology (AREA)
  • Biomedical Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Geometry (AREA)
  • Clinical Laboratory Science (AREA)
  • Biotechnology (AREA)
  • Microbiology (AREA)
  • Cell Biology (AREA)
  • Food Science & Technology (AREA)
  • Medicinal Chemistry (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)
  • Automatic Analysis And Handling Materials Therefor (AREA)
  • Auxiliary Devices For And Details Of Packaging Control (AREA)
  • Container Filling Or Packaging Operations (AREA)
  • Investigating Or Analyzing Materials By The Use Of Electric Means (AREA)

Abstract

一種生物感測器系統封裝件,包含:電晶體結構,其位於具有前側及後側之半導體層中,所述電晶體結構包括通道區;多層內連線(MLI)結構,其位於半導體層之前側上,所述電晶體結構電性連接至MLI結構;載體基底,其位於MLI結構上;第一基底穿孔(TSV)結構,其延伸穿過載體基底且被配置成提供MLI結構與單獨晶粒之間的電性連接;埋入的氧化物(BOX)層,其位於半導體層之後側上,其中所述埋入的氧化物層在通道區之後側上具有開口,且介面層在通道區上方覆蓋後側;以及微流體通道頂蓋結構,其貼合至埋入的氧化物層。

Description

固態生物感測器、微流體元件及矽穿孔之晶圓級封裝件
生物感測器為用於感測及偵測生物分子之裝置且基於電子、電化學、光學及機械偵測原理操作。包含電晶體之生物感測器為電感測生物實體或生物分子之電荷、光子及機械特性之感測器。偵測可藉由偵測生物實體或生物分子本身,或經由特定反應物與生物實體/生物分子之間的相互作用及反應來進行。此類生物感測器可使用半導體製程製造,可快速轉換電訊號,且可容易地應用於積體電路(integrated circuit;IC)及微機電系統(microelectromechanical system;MEMS)。
下文揭露內容提供用於實施所提供主題的不同部件(feature)的許多不同實施例或實例。下文描述構件及配置的具體實例以簡化本揭露。當然,此等構件以及配置僅為實例且不意欲為限制性的。舉例而言,在以下描述中,第一部件在第二部件上方或上之形成可包含第一部件及第二部件直接接觸地形成之實施例,且亦可包含額外部件可在第一部件與第二部件之間形成,使得第一部件及第二部件可不直接接觸之實施例。另外,本揭露可在各種實例中重複參考標號及/或字母。此重複是出於簡單及清楚的目的,且本身並不指示所論述的各種實施例及/或組態之間的關係。
此外,為易於描述,在本文中可使用諸如「在...下方」、「在...之下」、「下部」、「在...上方」、「上部」及其類似者之空間相對術語,以描述如諸圖中所說明之一個元件或部件相對於另一元件或部件的關係。除圖式中所描繪之定向以外,所述空間相對術語意欲涵蓋裝置在使用或操作中的不同定向。裝置可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解釋。
一般而言,如本文所使用之術語「bioFET」是指包含一層的固定化捕捉試劑之場效電晶體(FET),所述固定化捕捉試劑作為表面受體以偵測生物來源之目標分析物之存在。根據一些實施例,bioFET為具有半導體轉換器(semiconductor transducer)之場效感測器。bioFET之一個優點是有希望進行免標記操作。具體而言,bioFET能夠避免昂貴且耗時的標記操作,諸如用例如螢光或放射性探針標記分析物。藉由bioFETT偵測之分析物通常具有生物起源,諸如但不限於蛋白質、碳水化合物、脂質、組織片段或其部分。BioFET可為FET感測器之較廣泛一類之部分,其亦可偵測任何化合物(在所屬技術中被稱為「ChemFET」)或任何其他元件,包含離子,諸如質子或金屬離子(在所屬技術中被稱為「ISFET」)。本揭露適用於所有類型之基於FET之感測器(「FET感測器」)。
如本文所使用之「捕捉試劑」為能夠結合目標分析物或目標試劑之分子或化合物,其可直接地或間接地貼合至實質上固體材料。捕捉試劑可為化學物質,且具體而言,其中存在天然存在之目標分析物(例如抗體、多肽、DNA、RNA、細胞、病毒等)或可製備目標分析物之任何物質,且捕捉試劑可在檢測中結合至一或多種目標分析物。
如本文所使用之「目標分析物」是使用本揭露在測試樣本中待偵測之物質。目標分析物可為化學物質,且具體而言,其中存在天然存在之捕捉試劑(例如抗體、多肽、DNA、RNA、細胞、病毒等)或可製備捕捉試劑之任何物質,且目標分析物可在檢測法中結合至一或多種捕捉試劑。「目標分析物」亦包含任何抗原物質、抗體或其組合。目標分析物可包含蛋白質、肽、胺基酸、碳水化合物、激素、類固醇、維生素、藥物(包含出於治療目的之藥物以及出於違禁目的之藥物)、細菌、病毒及上述物質中的任一者之代謝物或抗體。
如本文所使用之「測試樣本」意謂使用本揭露含有待偵測且檢測之目標分析物之組成物、溶液、物質、氣體或液體。測試樣本可含有除目標分析物以外之其他組分,可具有液體或氣體之物理屬性,且可具有任何大小或體積,包含例如液體或氣體之移動流(moving stream)。測試樣本可含有除目標分析物以外的任何物質,只要其他物質不干擾目標分析物與捕捉試劑之結合或第一結合組件與第二結合組件之特異性結合。測試樣本之實例包括但不限於天然存在及非天然存在之樣本或其組合。天然存在之測試樣本可為合成的(synthetic or synthesized)。天然存在之測試樣本包含自個體體內或身體上的任何地方分離之體液(body or bodily fluid),包含但不限於血液、血漿、血清、尿液、唾液或痰液、脊髓液、腦脊髓液、胸膜液、乳頭吸出物、淋巴液、呼吸道、腸道及泌尿生殖道之流體、淚液、唾液、母乳、淋巴系統液、精液、腦脊髓液、器官內系統液、腹水、腫瘤囊內液、羊水及其組合,以及環境樣本,諸如地下水或廢水、土壤提取物、空氣以及農藥殘留或食物相關樣本。
偵測的物質可包含例如核酸(包含DNA及RNA)、激素、不同病原體(包含引起其宿主的疾病或病情的生物試劑,諸如病毒(例如H7N9或HIV)、原蟲(例如引起瘧疾之瘧原蟲(Plasmodium))或細菌(例如大腸桿菌(E. coli)或結核分支桿菌(Mycobacterium tuberculosis))、蛋白質、抗體、各種藥物或治療劑、或其他化學物質或生物物質,包含氫或其他離子、非離子型分子或化合物、多醣、小型化合物,諸如化學組合庫組成分子,以及類似者。偵測或測定之參數可包含但不限於pH變化、乳糖變化、變化濃度、每單位時間之粒子(其中流體在裝置上方流動一段時間以偵測粒子(例如,稀疏的粒子))及其他參數。
如本文所使用之術語「固定化」,當相對於例如捕捉試劑使用時,包含將捕捉試劑實質上以分子級貼合至表面。舉例而言,捕捉試劑可使用吸附技術固定至基底材料之表面,所述吸附技術包含非共價相互作用(例如,疏水性界面之靜電力、凡得瓦爾力(van der Waals)及脫水)及共價結合技術,其中官能基或連接子促進捕捉試劑貼合至表面。將捕捉試劑固定至基底材料表面可基於基底表面之特性、攜帶捕捉試劑之介質以及捕捉試劑之特性。在一些情況下,基底表面可先經修飾以使其具有結合至表面之官能基。接著,官能基可結合至生物分子或生物物質或化學物質以將官能基固定在其上。
藉由生物感測器收集之資料需要被發送至記憶體裝置,諸如單獨晶片(亦即,晶粒)上之動態隨機存取記憶體(dynamic random-access memory;DRAM)以用於資料處理。生物感測器晶片及DRAM晶片處於印刷電路板(printed circuit board;PCB)上之不同位置上。對於生物感測器之較高解析度陣列(例如,1024×1024),生物感測器晶片與DRAM之間的佈線限制資料傳送速率及頻寬以及增加功率消耗及資料失真。增加生物感測器與DRAM之間的資料訊號路徑之頻寬需要減小訊號路徑之電阻、電容、電感、雜訊及失真。因此需要減小生物感測器與DRAM之間的訊號路徑之實體距離以減少與路徑之電阻、電容及電感相關聯之功率及頻寬問題。然而,若生物感測器晶片及DRAM分別位於PCB板上,則具有訊號路徑減少之實體上的限制。
根據一些實施例,提供晶圓級封裝件以將生物感測器(或生物感測器陣列)與微流體通道頂蓋結構整合。微流體通道頂蓋結構使用互補金屬氧化物半導體(complementary metal-oxide-semiconductor;CMOS)相容製程流程進行製造。與晶粒級封裝件相比,其為可縮放及具成本效益的形式所製造。經由使用在晶片/晶粒之間介面連接的矽穿孔(through silicon via;TSV),最大限度地將生物感測器陣列、DRAM以及處理晶片之間的訊號路徑減至最少。此情形減小晶片/晶粒之間的導電路徑中的電阻、電容以及電感,其又減小功率消耗、延遲、雜訊以及失真以及增加頻寬。此允許更快分析來自更高解析度生物感測器陣列之資料。在一個實施例中,生物感測器系統封裝件可在前側處連接至一個單獨晶片(使用穿過載體基底之第一TSV結構)。在另一實施例中,生物感測器系統封裝件可在後側處連接至另一單獨晶片(使用穿過頂蓋結構基底之第二TSV結構)。在又一實施例中,生物感測器系統封裝件可在前側處連接至一個單獨晶片(使用穿過載體基底之第一TSV結構)及在後側處連接至另一單獨晶片(使用穿過頂蓋結構基底之第二TSV結構)。
本揭露之實施例可增加生物感測器分析器系統之整合及小型化。本揭露之實施例亦可藉由直接將生物感測器(或生物感測器陣列)與支援電子元件一起進行封裝來減少PCB所需之空間。另外,可在相容製程流程中將微流體通道與生物感測器(或生物感測器陣列)直接一起進行製造。
圖1A為根據一些實施例之實例生物感測器系統100之方塊圖。圖1B為根據一些實施例的用於圖1A之生物感測器系統100中之實例生物感測器103之示意圖。如圖1A中所示,除其他以外,實例生物感測器系統100可包含感測器陣列102、流體遞送系統104、讀出電路106及控制器108。
感測器陣列102可具有用於偵測生物或化學分析物之至少一個感測元件。感測器陣列102可包含生物感測器之陣列(例如,圖1B中所示之生物感測器103),其中所述陣列中的一或多個生物感測器經功能化以偵測特定目標分析物。不同的生物感測器可使用不同捕捉試劑功能化以用於偵測不同目標分析物。生物感測器可按多個列及行配置,從而形成生物感測器之2維陣列。在一些實施例中,使用不同捕捉試劑功能化各列生物感測器。在一些實施例中,使用不同捕捉試劑功能化各行生物感測器。在一些實施例中,使用不同捕捉試劑功能化特定範圍的列及行之生物感測器。下文參考圖1B提供關於實例生物感測器103之其他細節。
流體遞送系統104可遞送一或多個流體樣本至感測器陣列102。流體遞送系統104可為定位於感測器陣列102上方以包含感測器陣列102上方的流體的微流體井。流體遞送系統104亦可包含用於遞送各種流體至感測器陣列102之微流體通道。流體遞送系統104可包含經設計以遞送流體至感測器陣列102之任何數量的閥、泵、腔室、通道。
根據一些實施例,提供讀出電路106以量測來自感測器陣列102中的感測器的訊號且產生存在於目標溶液中的某一分析物之量的可定量感測器訊號指示。
控制器108可發送及接收電信號至感測器陣列102及讀出電路106兩者,以執行生物或化學感測量測。控制器108亦可將電訊號發送至流體遞送系統104,以例如啟動一或多個閥閥、泵或馬達。控制器108可包含一或多個處理裝置,諸如微處理器,且可為可程式化的以控制讀出電路106、感測器陣列102及/或流體遞送系統104之操作。
如圖1B中所示,除其他以外,實例生物感測器103可包含流體閘極112、源極區114、汲極區116、感測膜118、通道區120。流體遞送系統104在感測膜118上方施加流體122。流體122可含有未圖示之分析物。感測膜118可為將流體122與通道區120分離之電絕緣及化學絕緣層。除其他以外,感測膜118可包含一層的捕捉試劑。捕捉試劑對分析物具有特異性且能夠結合目標分析物或目標試劑。在結合分析物時,在感測膜118之表面處發生靜電電位之變化,其又導致生物感測器103之靜電閘控效應以及源電極與汲電極之間的電流Ids 126之可量測變化。施加至流體閘極112之電壓亦可改變Ids 126。
晶圓級封裝件用於將生物感測器103或生物感測器陣列102與微流體通道整合以形成封裝件。另外,晶片(亦即,晶粒)經由矽穿孔(TSV)連接至封裝件之頂部部分或底部部分。下文提供關於晶圓級封裝件及TSV連接之其他細節。
圖2為說明根據一些實施例之生物感測器系統封裝件200之剖面圖。圖3A及圖3B為說明根據一些實施例的製造圖2之生物感測器系統封裝件之方法300之流程圖。圖4至圖24為說明根據一些實施例的根據圖3A及圖3B之方法之一或多個步驟所建構之生物感測器系統封裝件之剖面圖。
如圖2中所示,生物感測器系統封裝件200具有前側(F)及後側(B)。在圖2中所示之實例中,除其他以外,生物感測器系統封裝件200包含埋入的氧化物(buried oxide;BOX)層206及半導體層208、電晶體結構(亦即FET)210、多層內連線(multi-layer interconnect;MLI)結構212、載體基底220、TSV結構246、焊料凸塊248、單獨晶片/晶粒(例如RAM及資料處理晶片)250、溝渠222、介面層(例如高k材料層)224以及微流體通道頂蓋結構228。單獨晶片250在前側(F)處使用焊料凸塊接合連接至TSV結構246。微流體通道頂蓋結構228貼合至後側(B)。除其他以外,微流體通道頂蓋結構228包含頂蓋結構230、腔室244、入口埠/出口埠240'以及視情況存在之高k氧化物材料層242。腔室244可容納待測試之流體樣本。入口埠/出口埠240'可經由套管252連接至泵及/或水庫,以供流體樣本流入及流出。下文將參考圖3A至圖3C及圖4至圖24描述生物感測器系統封裝件200之構件之細節。
如圖3A及圖3B中所示,方法300是用於製造生物感測器系統封裝件。圖3C為說明根據一些實施例之方法300之步驟320之流程圖。應注意,可在方法300之前、期間及之後提供額外步驟,且對於方法之額外實施例,可替換或消除下文所描述之一些步驟。另外,應注意,方法300為CMOS相容製程流程。
方法300在步驟302處開始,其中提供基底。基底可為半導體基底(例如,晶圓)。半導體基底可為矽基底。替代地,基底可包括另一基本半導體,諸如鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或其組合。在圖3A至圖3B及圖4至圖38中所示之一實施例中,基底為絕緣層上半導體(semiconductor on insulator;SOI)基底202。圖4中所示之SOI基底202包含塊狀矽層204、埋入的氧化物(BOX)層206及半導體層208(亦即,主動層208)。埋入的氧化物層206可藉由諸如氧離子佈植分離(separation by implanted oxygen;SIMOX)之製程及/或其他合適製程形成。半導體層208可包含摻雜區,諸如p井及n井。
方法接著進行至步驟304,其中在基底上形成電晶體結構。電晶體結構(亦即FET)可包含閘極結構、源極區、汲極區以及插入源極區及汲極區的通道區。如圖4中的實例中所示,FET 210之源極、汲極及/或通道區可形成於半導體層208中的主動區上。FET 210可為n型FET(nFET)或p型FET(pFET)。舉例而言,源極區/汲極區可包括n型摻雜劑或p型摻雜劑,視FET組態而定。閘極結構可包含閘極介電層、閘極電極層及/或其他合適之層。在一實施例中,閘極電極為多晶矽。其他示例性閘極電極包含:金屬閘極電極,其包含諸如Cu、W、Ti、Ta、Cr、Pt、Ag、Au等材料;合適的金屬化合物,如TiN、TaN、NiSi、CoSi;其組合;及/或其他合適的導電材料。在一實施例中,閘極介電質為氧化矽。其他示例性閘極介電質包含氮化矽、氮氧化矽、具有高介電常數(高k)之介電質及/或其組合。高k材料之實例包含矽酸鉿、氧化鉿、氧化鋯、氧化鋁、五氧化鉭、二氧化鉿-三氧化二鋁(HfO2 -Al2 O3 )合金或其組合。FET 210可使用典型CMOS製程形成,諸如微影;離子植入;擴散;沉積,包含物理氣相沉積(physical vapor deposition;PVD)、金屬蒸鍍或濺鍍、化學氣相沉積(chemical vapor deposition;CVD)、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition;PECVD)、大氣壓化學氣相沉積(atmospheric pressure chemical vapor deposition;APCVD)、低壓CVD(low-pressure CVD;LPCVD)、高密度電漿CVD(high density plasma CVD;HDPCVD)、原子層沉積(atomic layer deposition;ALD)、旋轉塗佈;蝕刻,包含濕式蝕刻、乾式蝕刻以及電漿蝕刻;及/或其他合適的CMOS製程。
方法300接著進行至步驟306,其中在電晶體結構上方形成多層內連線(MLI)結構。MLI結構可包含導電線、導電垂直內連線存取件(通孔)及/或插入介電層(例如層間介電(interlayer dielectric;ILD)層)。MLI結構可提供實體及電性連接至電晶體(亦即,FET),上文參考步驟304所描述。導電線可包括銅、鋁、鎢、鉭、鈦、鎳、鈷、金屬矽化物、金屬氮化物、多晶矽、其組合及/或可能包含一或多個層或襯墊(lining)的其他材料。插入介電層(例如ILD層)可包括二氧化矽、氟化矽玻璃(fluorinated silicon glass;FGS)、SILK(密西根州陶氏化學(Dow Chemical of Michigan)的產品)、BLACK DIAMOND(加利福尼亞州聖克拉拉應用材料公司(Applied Materials of Santa Clara, Calif.)的產品)及/或其他合適的絕緣材料。MLI結構可藉由CMOS製造中典型的合適製程形成,諸如CVD、PVD、ALD、鍍覆、旋轉塗佈及/或其他製程。
如圖4中的實例中所示,MLI結構212設置於基底202上及FET 210上方。MLI結構212包含藉由導通孔或插塞216連接的多個導電線214。在一個實施例中,導電線214包含鋁及/或銅。在一個實施例中,通孔或插塞216包含鎢。在另一實施例中,通孔或插塞216包含銅。在一個實施例中,插入介電層218設置於基底202上,包含插入MLI結構212之導電部件(conductive feature)。插入介電層218可為ILD層。在另一實施例中,介電層218為單個ILD層。在一個實施例中,插入介電層218中的每一者均包含氧化矽。MLI結構212可提供電性連接至FET 210的閘極及/或源極/汲極的。如圖4中的實例中所示,MLI結構212處於前側(F),而基底202處於後側(B)。
方法300接著進行至步驟308,其中將載體基底貼合至前側(F)。換言之,載體基底貼合至MLI結構。載體基底可在後續步驟期間保護前側(F)。在一個實施例中,載體基底接合至MLI結構。在另一實施例中,載體基底接合至形成於MLI結構上的鈍化層。載體基底可使用融合(fusion)、擴散、共晶及/或其他合適之接合方法進行貼合。用於載體基底之示例性組成物包含矽、玻璃以及石英。應注意,其他組成物也是可能的,且在本揭露之範疇內。如圖5中的實例中所示,載體基底220貼合至MLI結構212。在一些實施例中,載體基底220可包含諸如內連線部件(interconnect feature)、晶圓接合位置、經定義的空腔及/或其他合適部件之功能性。
方法300接著進行至步驟310,其中翻轉晶圓。如圖6中所示,後側(B)在頂部上。換言之,塊狀矽層204在頂部上。方法300接著進行至步驟312,其中移除塊狀矽層204。移除可藉由機械或化學方式實現。舉例而言,機械方式包含拋光(polishing)或研磨(grinding),諸如化學機械拋拋光(chemical mechanical polishing;CMP)。化學方式包含濕式蝕刻,諸如HF/硝酸/乙酸(HF/nitric/acetic acid;HNA)或四甲基銨氫氧化物(tetramethylammonium hydroxide;TMAH),或乾式蝕刻,包含電漿及非電漿蝕刻。如圖7中的實例中所示,移除圖6中的塊狀矽層204。埋入的氧化物層206在後側(B)處位於頂部。
方法300接著進行至步驟314,其中對埋入的氧化物層進行圖案化,以在後側(B)處形成開口。光阻圖案形成於埋入的氧化物層上。在一些實施例中,光阻圖案保護一些埋入的氧化物層免受後續非電漿蝕刻影響,以暴露生物感測器系統封裝件200之後側(B)。具體而言,光阻圖案保護一些埋入的氧化物層免受後續非電漿蝕刻影響,以暴露在步驟304處形成之電晶體結構的主動區。非電漿蝕刻可為不涉及電漿之濕式蝕刻或乾式蝕刻。在一些實施例中,可採用兩步蝕刻製程在後側(B)處形成開口。第一蝕刻步驟含有電漿且第二蝕刻步驟為非電漿蝕刻。如圖8中的實例中所示,非電漿蝕刻形成溝渠222,其具有暴露FET 210的通道區219的底部。非電漿蝕刻用於避免通道區219的暴露表面處的電漿損害(plasma-induced damage;PID)。在非限制性實例中,溝渠222之高度可在0.3微米至1微米之間的範圍內,而溝渠222之寬度可在0.5微米至200微米之間的範圍內(在一些極端情況下)。在一些實施例中,溝渠222之側壁輪廓是實質上筆直的。在非電漿蝕刻之後,移除光阻圖案。可使用較少PID光阻移除製程(PID-less photoresist removal process),諸如剝離(stripping)及臭氧灰化(ozone ashing)。由於溝渠222的暴露表面及FET 210的通道區的暴露表面易受電漿損害(PID)影響,因此一些電漿灰化製程可不用於移除光阻圖案。
方法300接著進行至步驟316。在步驟316處,沉積介面層。在一個實施例中,介面層為高k材料層。介面層對於生物分子或生物實體結合為相容的(例如,友好的)。舉例而言,介面層可包含捕捉試劑層,其為一層能夠結合流體樣本中的目標分析物的捕捉試劑。在一些實施例中,介面層包含多個層。舉例而言,介面層可包含介電材料(例如,高k材料)、導電材料及/或用於保持受體之其他合適材料。示例性界面材料包含高k介電膜、金屬、金屬氧化物、介電質及/或其他合適材料。作為另一實例,示例性介面層材料包含HfO2 、Ta2 O5 、Pt、Au、W、Ti、Al、Cu、此類金屬之氧化物、SiO2 、Si3 N4 、Al2 O3 、TiO2 、TiN、ZrO2 、SnO、SnO2 ;及/或其他合適材料。介面層可使用CMOS製程形成,諸如物理氣相沉積(PVD)(濺鍍)、化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、大氣壓化學氣相沉積(APCVD)、低壓CVD(LPCVD)、高密度電漿CVD(HDPCVD)或原子層CVD(ALCVD)。光阻圖案形成於介面層上方以保護介面層之一部分。保護FET之通道區上方的部分。在後續蝕刻製程中移除介面層之未受保護部分。蝕刻製程可涉及包含電漿蝕刻之任何已知蝕刻製程,因為易受PID影響之部分受到保護。介面層完全覆蓋通道區且可部分地覆蓋源極區及汲極區。源極區及汲極區的部分覆蓋可基於FET設計及對介面層的面積要求而進行調整。在一些實施例中,介面層可未經圖案化及蝕刻,並且保留在FET之各別表面上方。
如圖8中的實例中所示,介面層224(例如,高k材料層)形成於溝渠222的暴露表面及FET 210之主動區的暴露表面上。另外,介面層224沉積於埋入的氧化物層206之整個表面上方。
替代地,在步驟318處,沉積介面層,同時暴露一些接合位置。接合位置用於將微流體通道頂蓋結構接合至後側(B),其將在下文在步驟322處詳細描述。應注意,是否需要接合位置取決於特定接合要求。類似於步驟316,可使用CMOS製程(諸如,PVD(濺鍍)、CVD、PECVD、APCVD、LPCVD、HDPCVD或ALCVD)形成介面層。光阻圖案形成於介面層上方以保護介面層之一部分,且接合位置未受到保護。在後續蝕刻製程中移除介面層之未受保護部分。蝕刻製程可涉及包含電漿蝕刻之任何已知蝕刻製程,因為易受PID影響之部分受到保護。在蝕刻之後,在無PID光阻移除製程(PID-free photoresist removal process)中移除光阻。
如圖9中的實例中所示,介面層224(例如,高k材料層)形成於溝渠222的暴露表面及FET 210之主動區的暴露表面上,而兩個接合位置226被暴露。換言之,除兩個接合位置226以外,埋入的氧化物層206由介面層224覆蓋。應注意,接合位置之形狀可視微流體通道頂蓋結構之形狀而變化。
方法300接著進行至步驟320,其中製造微流體通道頂蓋結構。圖3C為說明根據一些實施例之方法300之步驟320之流程圖。步驟320為CMOS相容之製程流程。在步驟352處,提供頂蓋結構基底。頂蓋結構基底可為矽基底,但可採用其他合適之材料。如圖10中的實例中所示,提供矽基底230。
在步驟354處,對頂蓋結構基底進行圖案化及蝕刻以預定義整體空腔區。整體空腔區應於微流體通道。光阻圖案形成於頂蓋結構基底上。光阻圖案保護一些頂蓋結構基底免受後續蝕刻影響,以預定義整體空腔區。在圖案化頂蓋結構基底之後,藉由蝕刻頂蓋結構基底來預定義整體空腔區。蝕刻製程可為濕式蝕刻,諸如HF/硝酸/乙酸(HNA)或四甲基銨氫氧化物(TMAH);或乾式蝕刻,包含電漿及非電漿蝕刻。然後,移除光阻。如圖11中的實例中所示,整體空腔區232經預定義於頂蓋結構基底230之頂表面處。
在步驟356處,將硬質罩幕沉積於頂蓋結構基底之接合區域上。在一些實施例中,在步驟318處,頂蓋結構基底之接合區域對應於埋入的氧化物層上之接合位置。具體而言,頂蓋結構基底之接合區域與埋入的氧化物層上之接合位置介面連接,且微流體通道頂蓋結構接合至埋入的氧化物層(或在埋入的氧化物層上沉積且圖案化之任何適當的中間接合層),其將在下文在步驟322處詳細描述。硬質罩幕可保護接合區域免受後續蝕刻製程影響。在一些實施例中,硬質罩幕可由氧化物形成。在一些實施例中,硬質罩幕可由多晶矽形成。可使用諸如CVD及/或類似者之合適製程形成硬質罩幕。在非限制性實例中,硬質罩幕之厚度在0.3微米至1微米範圍內。如圖12中的實例中所示,硬質罩幕236(例如,氧化物硬質罩幕)沉積於頂蓋結構基底230之接合區域234上。硬質罩幕236可保護接合區域234免受後續蝕刻製程影響。
在步驟358處,對整體空腔區之某些區域進行圖案化,以形成入口通道/出口通道。光阻圖案形成於硬質罩幕和整體空腔區上。光阻圖案保護硬質罩幕及一些整體空腔區免受後續蝕刻影響,以形成入口通道/出口通道。隨後,蝕刻頂蓋結構基底,以形成入口通道/出口通道。蝕刻製程可為濕式蝕刻,諸如HF/硝酸/乙酸(HNA)或四甲基銨氫氧化物(TMAH);或乾式蝕刻,包含電漿及非電漿蝕刻。然後,移除光阻。如圖13中的實例中所示,光阻圖案238在硬質罩幕236及一些整體空腔區232上。蝕刻整體空腔區232的暴露部分,以形成入口通道/出口通道240。接著,移除光阻圖案238,且結構如圖14中的實例中所示。暴露包含入口通道/出口通道240的整個整體空腔區232,而接合區域234由硬質罩幕236覆蓋。
在步驟360處,對整個整體空腔區進行毯覆式蝕刻。具體而言,將包含入口通道/出口通道之整個整體空腔區均勻地回蝕某一特定深度,以形成微流體通道頂蓋結構之腔室。另一方面,在毯覆式蝕刻期間,接合區域由硬質罩幕覆蓋所保護。毯覆式蝕刻製程可為任何合適之蝕刻製程,諸如濕式蝕刻或乾式蝕刻,包含電漿及非電漿蝕刻。如圖15中的實例中所示,包含入口通道/出口通道240的頂蓋結構基底230的整個整體空腔區232以預定義蝕刻深度ED進行蝕刻。預定義蝕刻深度ED對應於微流體通道頂蓋結構228之腔室244之所需高度。
視情況在步驟362處,將高k氧化物材料層沉積於整體空腔區及硬質罩幕上。視應用而定,步驟362為視情況選用的。可使用CMOS製程(諸如,PVD(濺鍍)、CVD、PECVD、APCVD、LPCVD、HDPCVD或ALCVD)形成高k氧化物材料層。在一個非限制性實例中,高k氧化物材料層的厚度為2奈米至3奈米。如圖16中的實例中所示,高k氧化物材料層242沉積於整體空腔區232上(因此沉積於腔室244上)及硬質罩幕236上。高k氧化物材料層242覆蓋腔室244之底部及側壁、入口通道/出口通道之底部及側壁以及硬質罩幕236。
視情況,在步驟364處,移除硬質罩幕之頂部上的介面層。在一個實施例中,可藉由噴塗製程噴塗光阻塗佈機(photoresist spray coater),以覆蓋整體空腔區。在移除硬質罩幕上之高k氧化物材料層時,光阻塗佈機保護高k氧化物材料層。藉由諸如電漿蝕刻之合適製程移除硬質罩幕之頂部上之介面層。在一實例電漿蝕刻製程中,提供包括氧氣、含氟材料及惰性氣體之氣體混合物,且在高k氧化物材料層處(以脈衝方式)噴射(shot)氣體混合物之輝光放電(電漿)之高速蒸氣(high-speed stream)。噴塗製程是用於在具有深度特徵(deep feature)之區域上方塗佈光阻。在噴塗製程中,將光阻的精細液滴沉積至結構上。噴塗光阻液滴的角度可使光阻進入深溝渠及側壁中。
在步驟366處,移除硬質罩幕。藉由任何合適之製程移除硬質罩幕。在一個實施例中,藉由濕式蝕刻移除硬質罩幕。在一些實施例中,濕式蝕刻為含氟蝕刻,諸如稀氫氟酸(hydrofluoric acid;HF)。在一些實施例中,濕式蝕刻為氫氧化氨/過氧化氫蝕刻。濕式蝕刻移除硬質罩幕而不實質上移除或傷害高k氧化物材料層。如圖17中的實例中所示,移除硬質罩幕236上之視情況選用的高k氧化物材料層242及硬質罩幕236兩者。暴露接合區域234。整體空腔區232及入口通道/出口通道240之底部及側壁覆蓋有高k氧化物材料層242。因此,製造出微流體通道頂蓋結構228。
返回參考圖3A,方法300進行至步驟322,其中將微流體通道頂蓋結構接合至生物感測器系統封裝件之後側。具體而言,微流體通道頂蓋結構接合至埋入的氧化物層。在一些實施例中,埋入的氧化物層之接合位置與頂蓋結構基底之接合區域介面連接。在其他實施例中,在埋入的氧化物層上沉積及圖案化的中間接合層與頂蓋結構基底之接合區域介面連接。微流體通道頂蓋結構可使用融合接合、共晶接合、陽極接合及/或其他合適之接合方法接合至生物感測器系統封裝件之後側。融合接合利用溫度及壓力來結合半導體材料。在一個非限制性實例中,在室溫熔融接合製程中,接合機裝置促使微流體通道頂蓋結構及生物感測器系統封裝件之後側在一起。接著,進行退火製程,以增加接合強度。在共晶接合中,可利用可產生共晶系統之中間金屬層。共晶金屬為在沒有達到兩相平衡的情況下在特定組成及溫度下自固態直接變換至液態,或反之自液態變換至固態之合金。由於共晶溫度可比兩個或多於兩個純元素之熔融溫度低得多,因此共晶接合可具有以下益處:低處理溫度、最終總成(final assembly)中所誘發之低合成應力(low resultant stress)、高接合強度、大製造良率以及良好可靠性。在陽極接合中,在不引入中間層的情況下,將玻璃密封至矽或金屬。如圖18中的實例中所示,微流體通道頂蓋結構228接合至生物感測器系統封裝件200之後側(B)。具體而言,微流體通道頂蓋結構228接合至埋入的氧化物層206。埋入的氧化物層206之接合位置226與頂蓋結構基底230之接合區域234介面連接。
方法300接著進行至步驟324,其中翻轉晶圓。如圖19中的實例中所示,處於生物感測器系統封裝件200之前側(F)之載體基底220現位於頂部。方法300接著進行至步驟326,其中對載體基底進行薄化。在一個實例中,藉由研磨來薄化載體基底。研磨製程可包含旋轉保持內襯(line with)有適當研磨材料之生物感測器系統封裝件之圓盤。應注意,亦可採用諸如CMP之其他製程。如圖20中所示,載體基底220已經薄化。根據將在下文論述之步驟328選擇載體基底之厚度。
方法300接著進行至步驟328,其中基底穿孔(TSV)結構由穿過載體基底產生,且連接至MLI結構。TSV為用於提供電性連接且用於生物感測器系統封裝件200之散熱。如圖21中的實例中所示,TSV結構246由穿過載體基底220產生且連接至MLI結構212。儘管在圖21中的實例中僅示出一個TSV結構246,但可形成超過一個TSV結構以穿過載體基底220。TSV結構246包含襯套(liner)246a、擴散阻障層246b以及導電材料246c。在一個實施例中,TSV結構246藉由以下操作形成。首先,藉由一或多個蝕刻製程形成延伸至MLI結構212之導電線214的TSV開口。在形成TSV開口之後,襯套246a形成於TSV開口之側壁上以作為隔離層,使得TSV結構246之導電材料246c與載體基底220彼此不直接接觸。然後,擴散阻障層246b共形地形成於襯套246a上及TSV開口之底部上。擴散阻障層246b用以防止稍後將形成之導電材料246c遷移至非期望區。在形成擴散阻障層246b之後,使用導電材料246c填充至TSV開口中。然後,藉由平坦化製程(諸如化學機械拋光(CMP)製程)移除位於TSV開口外部的多餘襯套246a、擴散阻障層246b以及導電材料246c,但可使用任何合適之移除製程。
襯套246a由諸如氧化物或氮化物之絕緣材料製成。可藉由使用PECVD製程或其他適用製程來形成襯套246a。襯套246a可為單層或多層。在一些非限制性實例中,襯套246a的厚度在約100 Å至約5000 Å範圍內。擴散阻障層246b由Ta、TaN、Ti、TiN或CoW製成。在一些實施例中,擴散阻障層246b藉由PVD製程形成。在一些實施例中,擴散阻障層246b藉由鍍覆形成。在一些實施例中,導電材料246c由銅、銅合金、鋁、鋁合金或其組合製成。替代地,可使用其他可適用材料。可在不同情形下選擇TSV結構246之寬度、深度以及高寬比。由於在步驟326處對載體基底220進行薄化,因此TSV結構246具有相對較小的高寬比。因此,可解決或者是極大地減少了由TSV結構之高的高寬比所導致之空隙問題及擠壓或擴散問題。另外,降低生物感測器系統封裝件200之整體封裝件高度以符合先進封裝件要求。因此,生物感測器系統封裝件200可達成小型外觀(small form factor)。
方法300接著進行至步驟330,其中翻轉晶圓。如圖22中的實例中所示,微流體通道頂蓋結構228位於頂部,而TSV結構246位於底部。方法300接著進行至步驟332,其中對微流體通道頂蓋結構進行薄化,以形成入口埠/出口埠240',如圖23中的實例中所示。藉由諸如研磨及CMP之任何合適的製程來薄化微流體通道頂蓋結構228。在對微流體通道頂蓋結構228進行薄化之後,暴露入口通道/出口通道240,以形成入口埠/出口埠240'。因此,腔室244可經由入口埠/出口埠240'與外部連接。
替代地,在步驟334處,可首先對微流體通道頂蓋結構進行薄化,且接著蝕刻某些區域以形成入口埠/出口埠。然而,一些入口通道/出口通道由於蝕刻製程中的光阻的覆蓋而未經蝕刻,因此形成高於腔室(例如,如圖22中所示之腔室244)之高度的第二腔室高度。首先藉由諸如研磨及CMP之任何合適的製程來薄化微流體通道頂蓋結構。然而,選擇薄化深度使得在薄化之後不會暴露入口通道/出口通道。在已製造兩個入口通道/出口通道(例如,如圖22中所示之入口通道/出口通道240)之一個實例中,某些區域(例如兩個入口通道/出口通道中的一者上方的區)經蝕刻,以暴露兩個入口通道/出口通道中的一者,因此形成一個入口埠/出口埠。然而,另一入口通道/出口通道上方的區未經蝕刻,因此形成第二腔室高度。第二腔室高度等於腔室之高度加上入口通道/出口通道之高度。與步驟332相比,替代性步驟334提供更大靈活性且可應用於具有兩個不同高度(亦即,腔室高度及第二腔室高度)之腔室。
方法300接著進行至步驟336,其中翻轉晶圓。在翻轉晶圓之後,載體基底220及TSV結構246位於頂部上。接著,方法300進行至步驟338,其中將單獨晶片接合至TSV結構。單獨晶片可作為生物感測器系統之一部分之任何晶片。在一個實施例中,單獨晶片為RAM晶片。在一個實施例中,單獨晶片為資料處理晶片。在一個實施例中,單獨晶片為RAM及資料處理晶片。單獨晶片可藉由任何合適的製程接合至TSV結構。在一個實施例中,單獨晶片藉由焊料凸塊接合而接合至TSV結構。焊料凸塊為接合至半導體裝置之接觸區域或接墊(pad)之焊料(焊料球)之小球體。在一個實例中,焊料凸塊接合包含以下操作:將焊料凸塊置放於TSV結構上;翻轉晶圓;將焊料凸塊與單獨晶片之接觸墊(contact pad)對準;以及在爐管中回焊焊料凸塊,以建立TSV結構與單獨晶片之間的接合。在其他實施例中,單獨晶片可藉由打線接合而接合至TSV結構。如圖24中的實例中所示,RAM及資料處理晶片250藉由焊料凸塊接合(使用焊料凸塊248)接合至TSV結構246且向後翻轉晶圓。因此,使用方法300製造生物感測器系統封裝件200。
圖25A及圖25B為說明根據一些實施例的製造生物感測器系統封裝件之另一方法2500之流程圖。圖26至圖30為說明根據一些實施例的根據圖25A及圖25B之方法的一或多個步驟所建構之生物感測器系統封裝件3000之剖面圖。除了在方法2500中在接合微流體通道頂蓋結構之前製造TSV結構以外,方法2500與圖3A至圖3B中的方法300相同。因此,為簡單起見,方法2500之一些步驟並未詳細地描述,因為上文已描述類似步驟。
方法2500在步驟2502處開始,步驟2502類似於圖3A中的步驟302,其中提供基底。基底可為半導體基底(例如,晶圓)。在一個實例中,半導體基底可為包含塊狀矽層、埋入的氧化物(BOX)層以及半導體層(亦即,主動層)之SOI基底。方法2500接著進行至步驟2504,步驟2504類似於圖3A中的步驟304,其中在基底上形成電晶體結構。電晶體結構(亦即FET)可包含閘極結構、源極區、汲極區以及插入源極區及汲極區的通道區。方法2500接著進行至步驟2506,步驟2506類似於圖3A中的步驟306,其中MLI結構形成於電晶體結構上方。MLI結構可包含導電線、導通孔及/或插入介電層(例如ILD層)。MLI結構可提供實體及電性連接至電晶體(亦即,FET)。方法2500接著進行至步驟2508,步驟2508類似於圖3A中的步驟308,其中載體基底貼合至前側(F)。換言之,載體基底貼合至MLI結構。載體基底可在後續步驟期間保護前側(F)。在一個實施例中,載體基底接合至MLI結構。載體基底可使用融合、擴散、共晶及/或其他合適之接合方法貼合。
方法2500接著進行至步驟2510,步驟2510類似於圖3B中的步驟326,其中對載體基底進行薄化。在一個實例中,藉由研磨來薄化載體基底。應注意,亦可採用諸如CMP之其他製程。根據將在下文論述之步驟2512選擇載體基底之厚度。方法2500接著進行至類似於步驟328的步驟2512,其中TSV結構由穿過載體基底產生且連接至MLI結構。TSV是用於提供電性連接且用於生物感測器系統封裝件之散熱。在一個實施例中,TSV結構藉由以下操作形成。首先,藉由一或多個蝕刻製程形成延伸至MLI結構之導電線的TSV開口。在形成TSV開口之後,襯套形成於TSV開口之側壁上以作為隔離層,使得TSV結構之導電材料與載體基底彼此不直接接觸。然後,擴散阻障層共形地形成於襯套上及TSV開口之底部上。擴散阻障層用以防止稍後將形成之導電材料遷移至非期望區。在形成擴散阻障層之後,使用導電材料填充至TSV開口中。然後,藉由平坦化製程(諸如CMP製程)移除位於TSV開口外部之多餘襯套、擴散阻障層以及導電材料。
如圖26中的實例中所示,TSV結構246在前側(F)處穿過載體基底220產生且連接至MLI結構212。TSV結構246包含襯套246a、擴散阻障層246b以及導電材料246c。在圖26中所示之後側(B)處之SOI基底202包含塊狀矽層204、埋入的氧化物(BOX)層206以及半導體層208(亦即,主動層208)。電晶體結構(亦即,FET)210形成於半導體層208中的主動區上。
方法2500接著進行至步驟2514,其中翻轉晶圓。在步驟2514之後,塊狀矽層位於頂部。方法2500接著進行至步驟2516,步驟2516類似於圖3A中的步驟312,其中移除塊狀矽層。移除可藉由機械或化學方式實現。舉例而言,機械方式包含拋光或研磨,諸如CMP。化學方式包含濕式蝕刻或乾式蝕刻。如圖27中的實例中所示,移除圖26中的塊狀矽層204。埋入的氧化物層206在後側(B)處位於頂部。
方法2500接著進行至步驟2518,步驟2518類似於圖3A中的步驟314,其中埋入的氧化物層經圖案化以在後側(B)處形成開口。光阻圖案形成於埋入的氧化物層上。在一些實施例中,光阻圖案保護一些埋入的氧化物層免受後續非電漿蝕刻影響,以暴露在步驟2504處形成之電晶體結構之主動區。非電漿蝕刻可為不涉及電漿之濕式蝕刻或乾式蝕刻。在一些實施例中,可採用兩步蝕刻製程在後側(B)處形成開口。第一蝕刻步驟含有電漿且第二蝕刻步驟為非電漿蝕刻。如圖28中的實例中所示,非電漿蝕刻形成溝渠222,其具有暴露FET 210的通道區的底部。非電漿蝕刻是用於避免通道區219的暴露表面處的電漿損害(PID)。
方法2500接著進行至類似於步驟316的步驟2520,其中沉積介面層。在一個實施例中,介面層為高k材料層。介面層對於生物分子或生物實體結合為相容的(例如,友好的)。舉例而言,介面層可提供生物分子或生物實體之結合介面。在一些實施例中,介面層包含多個層。舉例而言,介面層可包含介電材料(例如,高k材料)、導電材料及/或用於保持受體之其他合適材料。可使用CMOS製程(諸如,PVD(濺鍍)、CVD、PECVD、APCVD、LPCVD、HDPCVD或ALCVD)形成介面層。如圖28中的實例中所示,介面層224(例如,高k材料層)形成於溝渠222的暴露表面及FET 210之主動區的暴露表面上。另外,介面層224沉積於埋入的氧化物層206之整個表面上方。
替代地,在類似於圖3A中的步驟318的步驟2522處,沉積介面層,同時暴露一些接合位置。接合位置是用於將微流體通道頂蓋結構接合至後側(B),其將在下文在步驟2524處詳細描述。應注意,是否需要接合位置取決於特定接合要求。
如圖28中的實例中所示,介面層224(例如,高k材料層)形成於溝渠222的暴露表面及FET 210之主動區的暴露表面上。換言之,埋入的氧化物層206由介面層224所覆蓋。應注意,在一些實施例中可採用接合位置。
方法2500接著進行至步驟2524,步驟2524類似於圖3A中的步驟320。在一個實施例中,步驟2524包含圖3C中的步驟352至步驟366。步驟2524與CMOS製程相容。方法2500接著進行至步驟2526,步驟2526類似於圖3A中的步驟322,其中將微流體通道頂蓋結構接合至生物感測器系統封裝件之後側。具體而言,微流體通道頂蓋結構接合至埋入的氧化物層。在其他實施例中,在埋入的氧化物層上沉積及圖案化的中間接合層與頂蓋結構基底之接合區域介面連接。微流體通道頂蓋結構可使用融合接合、共晶接合、陽極接合及/或其他合適之接合方法接合至生物感測器系統封裝件之後側。
方法2500接著進行至類似於步驟332的步驟2528,其中對微流體通道頂蓋結構進行薄化,以形成入口埠/出口埠。藉由諸如研磨及CMP之任何合適的製程來薄化微流體通道頂蓋結構。在對微流體通道頂蓋結構進行薄化之後,暴露入口通道/出口通道,以形成入口埠/出口埠。
替代地,在類似於步驟334之步驟2530處,其中可首先對微流體通道頂蓋結構進行薄化,且接著蝕刻某些區域以形成入口埠/出口埠。然而,一些入口通道/出口通道由於蝕刻製程中的光阻的覆蓋而未經蝕刻,因此形成高於腔室(例如,如圖29中所示之腔室244)之高度的第二腔室高度。首先藉由諸如研磨及CMP之任何合適的製程來薄化微流體通道頂蓋結構。然而,選擇薄化深度使得在薄化之後不會暴露入口通道/出口通道。在已製造兩個入口通道/出口通道之一個實例中,某些區域(例如兩個入口通道/出口通道中的一者上方的區域)經蝕刻以暴露兩個入口通道/出口通道中的一者,因此形成一個入口埠/出口埠。然而,另一入口通道/出口通道上方的區未經蝕刻,因此形成第二腔室高度。第二腔室高度等於腔室之高度加上入口通道/出口通道之高度。此外,與步驟2528相比,類似於步驟334之替代性步驟2530提供更大靈活性且可應用於具有兩個不同高度(亦即,腔室高度及第二腔室高度)之腔室。因此,腔室可經由入口埠/出口埠與外部連接。如圖29中的實例中所示,微流體通道頂蓋結構228接合至後側(B)。在頂蓋結構基底230內具有兩種不同高度之腔室244經由入口埠/出口埠240'與外部連接。
方法2500接著進行至步驟2532,其中翻轉晶圓。在翻轉晶圓之後,載體基底及TSV結構位於頂部上。接著,方法2500進行至步驟2534,其中將單獨晶片接合至TSV結構。單獨晶片可作為生物感測器系統之一部分之任何晶片。在一個實施例中,單獨晶片為RAM及資料處理晶片。單獨晶片可藉由任何合適的製程接合至TSV結構。在一個實施例中,單獨晶片藉由焊料凸塊接合而接合至TSV結構。如圖30中的實例中所示,RAM及資料處理晶片250藉由焊料凸塊接合(使用焊料凸塊248)而接合至TSV結構246且接著向後翻轉晶圓。因此,使用方法2500製造生物感測器系統封裝件3000。
圖31為說明根據一些實施例之生物感測器系統封裝件3100之剖面圖。圖32A及圖32B為說明根據一些實施例的製造圖31之生物感測器系統封裝件之方法3200之流程圖。圖32C為說明根據一些實施例的圖32A至圖32B之製造微流體通道頂蓋結構之方法3200的步驟之流程圖。圖33至圖47為說明根據一些實施例的根據圖32A及圖32B之方法的一或多個步驟所建構之生物感測器系統封裝件之剖面圖。應注意,圖31及圖33至圖47為示意性的且未按比例繪製。不同於圖2之生物感測器系統封裝件200,單獨晶片接合至後側(B)且經由通孔結構246、經由微流體通道頂蓋結構228連接至MLI結構212。由於許多結構及步驟類似於上文所描述之結構及步驟,因此下文將強調差異。
如圖31中所示,生物感測器系統封裝件3100具有前側(F)及後側(B)。在圖31中所示之實例中,除其他以外,生物感測器系統封裝件3100包含埋入的氧化物(BOX)層206及半導體層208、電晶體結構(亦即,FET)210、MLI結構212、載體基底220、溝渠222、三個接合溝渠223、介面層(例如,高k材料層)224、微流體通道頂蓋結構228、重分佈層(redistribution layer;RDL)268、焊料凸塊248以及單獨RAM晶片(例如RAM及資料處理晶片)250。微流體通道頂蓋結構228貼合至後側(B)。除了其他以外,微流體通道頂蓋結構228包含頂蓋結構230、腔室244、入口埠/出口埠240'、TSV結構246、柱狀結構254、兩個接合環256、氧化物層258及頂部氧化物層259。腔室244可保持待測試之流體樣本。入口埠/出口埠240'可經由套管252連接至泵及/或水庫。單獨晶片250經由TSV結構246及柱狀結構254連接至MLI結構212之第一金屬層處的導電線(例如第一金屬層「M1」處的導電線)214中的至少一者。微流體通道頂蓋結構228經由柱狀結構254及接合環256接合至MLI結構212之導電線214。下文將參考圖32A至圖32C及圖33至圖47描述生物感測器系統封裝件3100之構件之細節。
如圖32A及圖32B中所示,方法3200用於製造如圖31中所示的生物感測器系統封裝件3100。應注意,可在方法3200之前、期間及之後提供額外步驟,且對於方法之額外實施例,可替換或消除下文所描述之一些步驟。另外,應注意,方法3200包含具有典型CMOS技術製程流程之特徵的步驟,且因此在本文中僅簡要地描述。
方法3200在步驟3202處開始,其中提供基底。基底包含塊狀矽層、埋入的氧化物層(例如,圖31中所示之埋入的氧化物層206)及半導體層(例如,圖31中所示之半導體層208)。在步驟3204處,電晶體結構(例如,圖31中所示之FET 210)形成於基底上。在步驟3206處,MLI結構(例如,圖31中所示之MLI結構212)形成於電晶體結構上方。在步驟3208處,載體基底(例如,圖31中所示之載體基底220)貼合至生物感測器系統封裝件之前側(F)。在步驟3210處,翻轉晶圓。在步驟3212處,移除基底之塊狀矽層。在步驟3214處,對基底之埋入的氧化物層進行圖案化,以在生物感測器系統封裝件之後側(B)處形成開口(例如,圖31中所示之溝渠222)。在步驟3216處,沉積介面層(例如,圖31中所示之介面層224,其在一個實例中為高k材料層)。
方法3200接著進行至步驟3218。在步驟3218處,對介面層、埋入的氧化物層、半導體層以及MLI結構的頂部插入介電層(例如,圖31中所示之頂部插入介電層218)進行圖案化及蝕刻,以形成接合溝渠(例如,圖31中所示之接合溝渠223),用於暴露MLI結構的第一金屬層處的導電線及容納接合環(例如,圖31中所示之接合環256)及柱狀結構(例如,圖31中展示之柱狀結構254)。
方法3200接著進行至步驟3220,其中製造微流體通道頂蓋結構。在一個實施例中,步驟3220包含圖32C中所示之步驟3252至3272。在步驟3252處,提供頂蓋結構基底。在步驟3254處,將氧化物層沉積於頂蓋結構基底上,且將氮化物層沉積於氧化物層上。在圖33中的實例中,氧化物層258沉積於頂蓋結構基底230上,且氮化物層260沉積於氧化物層258上。在一個實施例中,頂蓋結構基底230為高度摻雜且導電的矽基底。在一個實施例中,氧化物層258之厚度在100奈米至300奈米範圍內,且氮化物層260之厚度在100奈米至300奈米範圍內。
在步驟3256處,對氧化物層及氮化物層進行圖案化及蝕刻,以定義對應於接合環、柱狀結構以及腔室之區域。在圖34中的實例中,對氧化物層258及氮化物層260進行圖案化及蝕刻。保留氧化物層258及氮化物層260之定義區域分別對應於柱狀結構、接合環以及腔室。
在步驟3258處,圍繞對應於柱狀結構的區域蝕刻隔離溝渠。在圖35中所示之實例中,兩個隔離溝渠262藉由乾式蝕刻或濕式蝕刻圍繞對應於柱狀結構之區域形成。在步驟3260處,使氧化物在隔離溝渠中熱生長。熱氧化物不會在由氮化物層覆蓋之區域中生長。在一個實施例中,熱氧化物之厚度比由氮化物覆蓋之氧化物層之厚度厚一個數量級。此外,熱氧化物在頂蓋結構基底之所有側面上生長。在圖36中所示之實例中,(熱)氧化物層258在隔離溝渠262中生長。
在步驟3262處,回蝕定義區域中的氮化物層及氧化物層。在圖37中所示之實例中,頂蓋結構基底230在定義區域中暴露。在步驟3264,毯覆式沉積多晶矽層。對應於柱狀結構及接合環之定義區域中的多晶矽層隨後分別作為柱狀結構及接合環之一部分。在圖38中所示之實例中,多晶矽層264經毯覆式沉積且在定義區域及未定義區域兩者上方。在一個實施例中,多晶矽層264之厚度在3微米至5微米範圍內。
在步驟3266處,移除多晶矽層之原生氧化物且毯覆式沉積中間層。當含矽表面暴露於環境條件或氧氣時,可形成原生氧化物層。多晶矽之原生氧化物之存在可增加多晶矽與中間層之間的電阻,此為不期望的。在一個實施例中,藉由濕式製程(諸如用稀氫氟酸(HF)處理原生氧化物)來移除多晶矽層之原生氧化物。中間層由合適於共晶接合之任何材料製成。在一個實施例中,中間層由鍺(Ge)製成。在圖39中的實例中,中間層266毯覆式沉積於移除原生氧化物之後的多晶矽層264上。
在步驟3268處,圖案化對應於接合環及柱狀結構之區域,且移除其他未圖案化區域中的中間層及多晶矽層。在圖40中的實例中,在移除其他未圖案化區域中的中間層266及多晶矽層264之後,柱狀結構254及接合環256突出。柱狀結構254及接合環256兩者包含多晶矽層264之一部分及在多晶矽層264之所述部分之頂部的中間層266之一部分。視情況,在圍繞接合環256之區域中且在對應於腔室之區域中蝕刻頂蓋結構基底230。
在步驟3270處,對整體空腔區進行圖案化及蝕刻以形成腔室。在圖41中的實例中,圖案化整體空腔區232,且在整體空腔區232中蝕刻頂蓋結構基底230以形成腔室244。腔室244的腔室深度(chamber depth;CD)小於柱狀結構254的柱狀結構深度(pillar structure depth;PD)。
視情況在步驟3272處,將高k氧化物材料層沉積於腔室之底表面及側壁上。步驟3272為視情況選用的,其視應用而定。在一個實施例中,可藉由毯覆式沉積高k材料層及蝕刻高k材料層,同時使用光阻塗佈機阻擋腔室區域來進行步驟3272。在一個實施例中,高k氧化物材料之厚度在2奈米至3奈米範圍內。在圖42中的實例中,高k氧化材料242覆蓋腔室244之底表面及側壁。因此,製造微流體通道頂蓋結構228。
返回參考圖32A及圖32B,在步驟3222處,將微流體通道頂蓋結構接合至生物感測器系統封裝件之後側。在一個實施例中,使用共晶接合進行接合,且使用在柱狀結構及接合環之頂部處之中間層266產生共晶系統。在圖43中的實例中,使用共晶接合將微流體通道頂蓋結構228接合至生物感測器系統封裝件之後側(B)。具體而言,將柱狀結構254及接合環256容納於接合溝渠223中且接合至MLI結構212的第一金屬層M1處的導電線214。應注意,圖43未按比例繪製。在非限制性實例中,接合溝渠之深度為2微米;柱狀結構254及接合環256之高度均為4微米;載體基底220之厚度在300微米至750微米之範圍內;MLI結構212之厚度為10微米;頂蓋結構基底之厚度在500微米至750微米之範圍內。
在步驟3224處,對微流體通道頂蓋結構之頂部部分進行薄化。可藉由諸如研磨及CMP之任何合適的製程來薄化微流體通道頂蓋結構。在圖44中的實例中,在對微流體通道頂蓋結構228進行薄化之後,TSV結構暴露於後側(B)之頂部處。
在步驟3226處,在微流體通道頂蓋結構之頂部部分上圖案化且沉積頂部氧化物層,以產生用於柱狀結構之接點開口。在圖45中的實例中,除接點開口270以外,頂部氧化物層259沉積於頂蓋結構基底230之整個頂部表面上方。因此,TSV結構246與頂蓋結構基底230之其他部分電絕緣。
在步驟3228處,將重分佈層(RDL)圖案化且沉積於接點開口上方。一般而言,重分佈層提供導電圖案,其使得所完成的封裝件(completed package)的引腳輸出接觸圖案(pin-out contact pattern)不同於通孔結構的圖案,從而使得通孔及晶粒的設置具有更大靈活性。重分佈層包含導電線及通孔連接件,其中通孔連接件將上覆線連接至下伏導電部件(underlying conductive feature)。在圖46中的實例中,重分佈層268經圖案化及沉積於接點開口270上方。重分佈層268電性連接至TSV結構246中的高度摻雜之頂蓋結構基底230。
在步驟3230處,對頂部氧化物層及頂蓋結構基底進行圖案化及蝕刻,以形成入口埠/出口埠。蝕刻頂部氧化物層259及頂蓋結構基底230之某些區域,以形成入口埠/出口埠。因此,形成入口埠/出口埠。在圖47中的實例中,藉由在腔室244內之某些區域中蝕刻頂部氧化物層259及頂蓋結構基底230而形成入口埠/出口埠240'。
在步驟3232處,將單獨晶片接合至重分佈層。單獨晶片可作為生物感測器系統之一部分之任何晶片。單獨晶片可藉由任何合適的製程接合至重分佈層。如圖31中的實例中所示,藉由焊料凸塊接合(使用焊料凸塊248)將RAM及資料處理晶片250接合至重分佈層268。因此,RAM及資料處理晶片250藉由以下電路徑連接至MLI結構:重分佈層268、TSV結構246的頂蓋結構基底230、柱狀結構254以及第一金屬層處的導電線214。因此,使用方法3200製造生物感測器系統封裝件3100。
圖48為說明根據一些實施例之生物感測器系統封裝件4800之剖面圖。生物感測器系統封裝件4800為圖2中的生物感測器系統封裝件200與圖31中的生物感測器系統封裝件3100的組合。具體而言,生物感測器系統封裝件4800在前側處連接至一個單獨晶片(使用穿過載體基底之第一TSV結構)且在後側處連接另一單獨晶片(使用穿過頂蓋結構基底之第二TSV結構)。換言之,產生「三個晶片加上微流體」結構。生物感測器系統封裝件4800之製造方法為圖3A至圖3B中的方法300與圖32A至圖32B的方法3200的組合,因此為簡單起見不進行詳細描述。
如圖48中所示,生物感測器系統封裝件4800具有前側(F)及後側(B)。在圖48中所示之實例中,除其他以外,生物感測器系統封裝件4800包含埋入的氧化物(BOX)層206及半導體層208、電晶體結構(亦即FET)210、MLI結構212、載體基底220、溝渠222、三個接合溝渠223、介面層(例如高k材料層)224、微流體通道頂蓋結構228、重分佈層(RDL)268、兩個焊料凸塊248-1及248-2,以及兩個單獨晶片(例如,RAM及資料處理資料處理加上輔助晶片)250-1及250-2。微流體通道頂蓋結構228貼合至後側(B)。除其他以外,微流體通道頂蓋結構228包含頂蓋結構230、腔室244、入口埠/出口埠240'、第二TSV結構246-2、柱狀結構254、兩個接合環256、氧化物層258以及頂部氧化物層259。腔室244可保持待測試之流體樣本。入口埠/出口埠240'可經由套管連接至泵及/或水庫。第一單獨晶片250-1使用穿過載體基底220的第一TSV結構246-1連接至MLI結構212。第二單獨晶片250-2經由第二TSV結構246-2及柱狀結構254連接至MLI結構212之第一金屬層處的導電線(例如第一金屬層「M1」處的導電線)214中的至少一者。微流體通道頂蓋結構228經由柱狀結構254及接合環256接合至MLI結構212之導電線214。
根據本揭露之實施例包含生物感測器系統封裝件。生物感測器系統封裝件包含:電晶體結構,其位於具有前側及後側之半導體層中,所述電晶體結構包括通道區;多層內連線(MLI)結構,其位於半導體層之前側上,所述電晶體結構電性連接至MLI結構;載體基底,其位於MLI結構上;第一基底穿孔(TSV)結構,其延伸穿過載體基底且被配置成提供MLI結構與單獨晶粒之間的電性連接;埋入的氧化物(BOX)層,其位於半導體層之後側上,其中所述埋入的氧化物層在通道區之後側上具有開口,且介面層在通道區上方覆蓋後側;以及微流體通道頂蓋結構,其貼合至埋入的氧化物層。
其他實施例包含生物感測器系統封裝件。生物感測器系統封裝件包含:電晶體結構,其位於具有前側及後側之半導體層中,所述電晶體結構包括通道區;多層內連線(MLI)結構,其位於半導體層之前側上,包括在第一金屬(M1)層處的第一導電線及第二導電線,所述電晶體結構電性連接至MLI結構;載體基底,其位於MLI結構上;埋入的氧化物(BOX)層,其位於半導體層之後側上,其中所述埋入的氧化物層在通道區之後側上具有開口、第一接合溝渠以及第二接合溝渠,且其中介面層在通道區上方覆蓋後側;微流體通道頂蓋結構,其貼合至第一導電線及第二導電線;以及第二基底穿孔(TSV)結構,其延伸穿過微流體通道頂蓋結構且被配置成提供MLI結構與單獨晶粒之間的電性連接。
其他實施例包含製造生物感測器系統封裝件之方法。所述方法包含:提供基底,所述基底包括具有前側及後側之半導體層、在後側處之埋入的氧化物(BOX)層以及在後側處之塊狀矽層;在基底上形成電晶體結構,其中電晶體結構之通道區在半導體層中;在半導體層之前側上形成多層內連線(MLI)結構,其中MLI結構電性連接至電晶體結構;將載體基底貼合至MLI結構;移除塊狀矽層;蝕刻埋入的氧化物層以在通道區上方形成開口於後側處;在通道區上方沉積介面層在後側上;製造微流體通道頂蓋結構;將微流體通道頂蓋結構接合至BOX層;對載體基底進行薄化;產生延伸穿過載體基底之第一基底穿孔(TSV)結構,所述第一TSV結構電性連接至MLI結構;以及對微流體通道頂蓋結構進行薄化以形成供流體樣本流入及流出的至少一個埠。
前文概述若干實施例的部件,使得所屬領域中具有通常知識者可更佳地理解本揭露的態樣。所屬領域中具有通常知識者應理解,其可易於使用本揭露作為設計或修改用於實現本文中所引入之實施例的相同目的及/或達成相同優點的其他製程及結構之基礎。所屬領域中具有通常知識者亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且所屬領域中具有通常知識者可在不脫離本揭露的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
100:生物感測器系統 102:感測器陣列 103:生物感測器 104:流體遞送系統 106:讀出電路 108:控制器 112:流體閘極 114:源極區 116:汲極區 118:感測膜 120:通道區 122:流體 126:電流Ids 200、3000、3100、4800:生物感測器系統封裝件 202:絕緣層上半導體基底 204:塊狀矽層 206:埋入的氧化物層 208:半導體層/主動層 210:電晶體結構 212:多層內連線結構 214:導電線 216:導通孔或插塞 218:插入介電層 219:通道區 220:載體基底 222:溝渠 223:接合溝渠 224:介面層 226:接合位置 228:微流體通道頂蓋結構 230:頂蓋結構/矽基底 232:整體空腔區 234:接合區域 236:硬質罩幕 238:光阻圖案 240:入口通道/出口通道 240':入口埠/出口埠 242:高k氧化物材料層 244:腔室 246、246-1、246-2:矽穿孔(TSV)結構 246a:襯套 246b:擴散阻障層 246c:導電材料 248、248-1、248-2:焊料凸塊 250、250-1、250-2:單獨晶片/晶粒 252:套管 254:柱狀結構 256:接合環 258:氧化物層 259:頂部氧化物層 260:氮化物層 262:隔離溝渠 264:多晶矽層 266:中間層 268:重分佈層 270:接點開口 300、2500、3200:方法 302、304、306、308、310、312、314、316、318、320、322、324、326、328、330、332、334、336、338、352、354、356、358、360、362、364、366、2502、2054、2506、2508、2510、2512、2514、2516、2518、2520、2522、2524、2526、2528、2530、2532、2534、3202、3204、3206、3208、3210、3212、3214、3216、3218、3220、3222、3224、3226、3228、3230、3232、3252、3254、3256、3258、3260、3262、3264、3266、3268、3270、3272:步驟 B:後側 CD:腔室深度 ED:預定義蝕刻深度 F:前側 PD:柱狀結構深度
當結合隨附圖式閱讀時根據以下詳細描述最佳地理解本揭露的態樣。應注意,根據業界中的標準慣例,各種部件未按比例繪製。事實上,出於論述清楚起見,可任意增加或減小各種部件的尺寸。 圖1A為根據一些實施例之實例生物感測器系統之方塊圖。 圖1B為根據一些實施例的用於圖1A之生物感測器系統中實例生物感測器之示意圖。 圖2為說明根據一些實施例之生物感測器系統封裝件之剖面圖。 圖3A及圖3B為說明根據一些實施例的製造圖2之生物感測器系統封裝件之方法之流程圖。 圖3C為說明根據一些實施例之圖3A及圖3B之方法之步驟之流程圖。 圖4至圖24為說明根據一些實施例的根據圖3A及圖3B之方法的一或多個步驟所建構之生物感測器系統封裝件之剖面圖。 圖25A及圖25B為說明根據一些實施例的製造生物感測器系統封裝件之另一方法之流程圖。 圖26至圖30為說明根據一些實施例的根據圖25A及圖25B之方法的一或多個步驟所建構之生物感測器系統封裝件之剖面圖。 圖31為說明根據一些實施例之生物感測器系統封裝件之剖面圖。 圖32A及圖32B為說明根據一些實施例的製造圖31之生物感測器系統封裝件之方法之流程圖。 圖32C為說明根據一些實施例的圖32A至圖32B之方法之步驟之流程圖。 圖33至圖47為說明根據一些實施例的根據圖32A及圖32B之方法之一或多個步驟所建構之生物感測器系統封裝件之剖面圖。 圖48為說明根據一些實施例之生物感測器系統封裝件之剖面圖。
200:生物感測器系統封裝件
206:埋入的氧化物層
208:半導體層/主動層
210:電晶體結構
212:多層內連線結構
219:通道區
220:載體基底
222:溝渠
224:介面層
226:接合位置
228:微流體通道頂蓋結構
230:頂蓋結構/矽基底
234:接合區域
240':入口埠/出口埠
242:高k氧化物材料層
244:腔室
246:矽穿孔(TSV)結構
248:焊料凸塊
250:單獨晶片/晶粒
252:套管
B:後側
F:前側

Claims (20)

  1. 一種生物感測器系統封裝件,其包括: 電晶體結構,其位於具有前側及後側的半導體層中,所述電晶體結構包括通道區; 多層內連線結構,其位於所述半導體層之所述前側上,所述電晶體結構電性連接至所述多層內連線結構; 載體基底,其位於所述多層內連線結構上; 第一基底穿孔結構,其延伸穿過所述載體基底且被配置成提供所述多層內連線結構與單獨晶粒之間的電性連接; 埋入的氧化物層,其位於所述半導體層之所述後側上,其中所述埋入的氧化物層在所述通道區之所述後側上具有開口,且介面層在所述通道區上方覆蓋所述後側;以及 微流體通道頂蓋結構,其貼合至所述埋入的氧化物層。
  2. 如請求項1所述的生物感測器系統封裝件,其中所述微流體通道頂蓋結構是使用互補金屬氧化物半導體相容製程流程所製造的。
  3. 如請求項1所述的生物感測器系統封裝件,其中所述微流體通道頂蓋結構更包括: 頂蓋結構基底,其具有被配置成容納待測試之流體樣本的腔室;以及 至少一個埠,其連接所述腔室且用於所述流體樣本之流入及流出。
  4. 如請求項3所述的生物感測器系統封裝件,其中所述微流體通道頂蓋結構更包括: 高k氧化物材料層,其覆蓋所述腔室之底部及側壁。
  5. 如請求項3所述的生物感測器系統封裝件,其中所述頂蓋結構基底具有與所述埋入的氧化物層之接合位置介面連接的接合區域。
  6. 如請求項1所述的生物感測器系統封裝件,其中所述多層內連線結構包括: 多個插入介電層; 多個導電線,每一導電線設置於所述多個插入介電層中的一者中;以及 多個導電垂直內連線存取結構,其連接所述多個導電線。
  7. 如請求項1所述的生物感測器系統封裝件,其中所述第一基底穿孔結構包括: 導電材料; 襯套,其將所述導電材料與所述載體基底隔離;以及 擴散阻障層,其位於所述導電材料與所述襯套之間。
  8. 如請求項1所述的生物感測器系統封裝件,其更包括: 單獨晶粒,其中所述單獨晶粒電性連接至所述第一基底穿孔結構且被配置成處理由所述電晶體結構收集之資料。
  9. 如請求項1所述的生物感測器系統封裝件,其中所述介面層為高k材料層。
  10. 如請求項3所述的生物感測器系統封裝件,其中所述介面層包括一層能夠結合所述流體樣本中的目標分析物的捕捉試劑。
  11. 一種生物感測器系統封裝件,其包括: 電晶體結構,其位於具有前側及後側的半導體層中,所述電晶體結構包括通道區; 多層內連線結構,其位於所述半導體層之所述前側上,包括在第一金屬層處的第一導電線及一第二導電線,所述電晶體結構電性連接至所述多層內連線結構; 載體基底,其位於所述多層內連線結構上; 埋入的氧化物層,其位於所述半導體層之所述後側上,其中所述埋入的氧化物層在所述通道區之所述後側上具有開口、第一接合溝渠以及第二接合溝渠,且其中介面層在所述通道區上方覆蓋所述後側; 微流體通道頂蓋結構,其貼合至所述第一導電線及所述第二導電線;以及 第二基底穿孔結構,其延伸穿過所述微流體通道頂蓋結構且被配置成提供所述多層內連線結構與單獨晶粒之間的電性連接。
  12. 如請求項11所述的生物感測器系統封裝件,其中所述微流體通道頂蓋結構是使用互補金屬氧化物半導體相容製程流程所製造的。
  13. 如請求項11所述的生物感測器系統封裝件,其中所述微流體通道頂蓋結構更包括: 頂蓋結構基底,其具有被配置成容納待測試之流體樣本的腔室; 至少一個埠,其連接所述腔室且用於所述流體樣本之流入及流出; 柱狀結構,其容納於第一接合溝渠中且朝向所述第一導電線突出,其中所述柱狀結構電性連接至所述第二基底穿孔結構;以及 接合環,其容納於第二接合溝渠中且朝向所述第二導電線突出。
  14. 如請求項13所述的生物感測器系統封裝件,其中所述柱狀結構及所述接合環均包括導電層及中間層,所述中間層用於共晶接合。
  15. 如請求項14所述的生物感測器系統封裝件,其中所述導電層由多晶矽製成,且所述中間層由鍺製成。
  16. 如請求項13所述的生物感測器系統封裝件,其中所述第二基底穿孔結構包括: 所述頂蓋結構基底之一部分,其中所述頂蓋結構基底之所述部分經高度摻雜;以及 至少一個氧化物層,其包圍所述頂蓋結構基底之所述部分。
  17. 如請求項11所述的生物感測器系統封裝件,其更包括: 單獨晶粒,其中所述晶粒電性連接至所述第二基底穿孔結構且被配置成處理由所述電晶體結構收集之資料。
  18. 如請求項11所述的生物感測器系統封裝件,其中所述介面層為高k材料層。
  19. 一種製造生物感測器系統封裝件之方法,其包括: 提供基底,所述基底包括具有前側及後側之半導體層、在所述後側處的埋入的氧化物層以及在所述後側處的塊狀矽層; 在所述基底上形成電晶體結構,其中所述電晶體結構之通道區在所述半導體層中; 在所述半導體層之所述前側上形成多層內連線結構,其中所述多層內連線結構電性連接至所述電晶體結構; 將載體基底貼合至所述多層內連線結構; 移除所述塊狀矽層; 蝕刻所述埋入的氧化物層,以在所述通道區上方形成開口在所述後側處; 在所述通道區上方沉積介面層在所述後側上; 製造微流體通道頂蓋結構; 將所述微流體通道頂蓋結構接合至所述埋入的氧化物層; 對所述載體基底進行薄化; 產生延伸穿過所述載體基底之第一基底穿孔結構,所述第一基底穿孔結構電性連接至所述多層內連線結構;以及 對所述微流體通道頂蓋結構進行薄化,以形成供流體樣本流入及流出的至少一個埠。
  20. 如請求項19所述的製造生物感測器系統封裝件之方法,其中所述製造微流體通道頂蓋結構包括: 提供頂蓋結構基底; 蝕刻所述頂蓋結構基底,以預定義對應於腔室之整體空腔區; 將硬質罩幕沉積於所述頂蓋結構基底之接合區域上; 對所述整體空腔區之一部分進行圖案化及蝕刻,以形成對應於所述至少一個埠之至少一個通道; 蝕刻整個整體空腔區,以形成所述腔室;以及 移除所述硬質罩幕。
TW110102650A 2020-01-30 2021-01-25 生物感測器系統封裝件及其製造方法 TWI807249B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062967890P 2020-01-30 2020-01-30
US62/967,890 2020-01-30
US17/095,151 2020-11-11
US17/095,151 US11747298B2 (en) 2020-01-30 2020-11-11 Wafer-level packaging of solid-state biosensor, microfluidics, and through-silicon via

Publications (2)

Publication Number Publication Date
TW202129273A true TW202129273A (zh) 2021-08-01
TWI807249B TWI807249B (zh) 2023-07-01

Family

ID=76853573

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110102650A TWI807249B (zh) 2020-01-30 2021-01-25 生物感測器系統封裝件及其製造方法

Country Status (5)

Country Link
US (2) US11747298B2 (zh)
KR (1) KR102591916B1 (zh)
CN (1) CN113270390B (zh)
DE (1) DE102020130573A1 (zh)
TW (1) TWI807249B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11488980B2 (en) 2020-08-26 2022-11-01 Globalfoundries U.S. Inc. Wafer with localized semiconductor on insulator regions with cavity structures
US12027580B2 (en) * 2020-09-22 2024-07-02 Globalfoundries U.S. Inc. Semiconductor on insulator wafer with cavity structures
US20220236261A1 (en) * 2021-01-26 2022-07-28 IceMos Technology Limited Semiconductor Device Providing a Biosensor to Test for Pathogen
CN116429300B (zh) * 2023-06-12 2023-09-22 之江实验室 基于单晶硅和微流道冷却的超高温压力传感芯片及系统

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910473B2 (en) * 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US8222139B2 (en) 2010-03-30 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) processing of through-silicon via (TSV) and contact plug simultaneously
US8666505B2 (en) * 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
KR101817159B1 (ko) * 2011-02-17 2018-02-22 삼성전자 주식회사 Tsv를 가지는 인터포저를 포함하는 반도체 패키지 및 그 제조 방법
US9689835B2 (en) 2011-10-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Amplified dual-gate bio field effect transistor
US8587077B2 (en) * 2012-01-02 2013-11-19 Windtop Technology Corp. Integrated compact MEMS device with deep trench contacts
US8728844B1 (en) 2012-12-05 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Backside CMOS compatible bioFET with no plasma induced damage
CN104051512B (zh) * 2013-03-14 2017-08-25 台湾积体电路制造股份有限公司 性能增强的背面感测生物场效应晶体管
US9299640B2 (en) 2013-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Front-to-back bonding with through-substrate via (TSV)
US9606081B2 (en) * 2015-03-12 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a micro-well of a biosensor
US10131540B2 (en) 2015-03-12 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method to mitigate soldering offset for wafer-level chip scale package (WLCSP) applications
US9704784B1 (en) * 2016-07-14 2017-07-11 Nxp Usa, Inc. Method of integrating a copper plating process in a through-substrate-via (TSV) on CMOS wafer
KR102138344B1 (ko) 2016-08-09 2020-07-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 유체 분석을 위한 카트리지 및 분석기

Also Published As

Publication number Publication date
CN113270390B (zh) 2024-04-05
KR102591916B1 (ko) 2023-10-19
TWI807249B (zh) 2023-07-01
DE102020130573A1 (de) 2021-08-05
US20210239647A1 (en) 2021-08-05
US20230393091A1 (en) 2023-12-07
US11747298B2 (en) 2023-09-05
CN113270390A (zh) 2021-08-17
KR20210098325A (ko) 2021-08-10

Similar Documents

Publication Publication Date Title
TWI807249B (zh) 生物感測器系統封裝件及其製造方法
US11486854B2 (en) CMOS compatible BioFET
US11099152B2 (en) Backside CMOS compatible BioFET with no plasma induced damage
US10823696B2 (en) Method of fabricating a biological field-effect transistor (BioFET) with increased sensing area
US11353421B2 (en) Direct sensing BioFETs and methods of manufacture
TW201721873A (zh) 半導體裝置及其製造方法
US20240044889A1 (en) Biosensor system with integrated microneedle