KR102591916B1 - 고체 상태 바이오센서, 미세 유체들, 및 실리콘 관통 비아의 웨이퍼 레벨 패키징 - Google Patents

고체 상태 바이오센서, 미세 유체들, 및 실리콘 관통 비아의 웨이퍼 레벨 패키징 Download PDF

Info

Publication number
KR102591916B1
KR102591916B1 KR1020210002574A KR20210002574A KR102591916B1 KR 102591916 B1 KR102591916 B1 KR 102591916B1 KR 1020210002574 A KR1020210002574 A KR 1020210002574A KR 20210002574 A KR20210002574 A KR 20210002574A KR 102591916 B1 KR102591916 B1 KR 102591916B1
Authority
KR
South Korea
Prior art keywords
layer
substrate
mli
biosensor system
system package
Prior art date
Application number
KR1020210002574A
Other languages
English (en)
Other versions
KR20210098325A (ko
Inventor
알렌 티모시 창
웬-추안 타이
유-지에 후앙
주이-쳉 후앙
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210098325A publication Critical patent/KR20210098325A/ko
Application granted granted Critical
Publication of KR102591916B1 publication Critical patent/KR102591916B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • G01N27/4145Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS specially adapted for biomolecules, e.g. gate electrode with immobilised receptors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502707Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by the manufacture of the container or its components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502715Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by interfacing components, e.g. fluidic, electrical, optical or mechanical interfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0061Packages or encapsulation suitable for fluid transfer from the MEMS out of the package or vice versa, e.g. transfer of liquid, gas, sound
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00119Arrangement of basic structures like cavities or channels, e.g. suitable for microfluidic systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/53Immunoassay; Biospecific binding assay; Materials therefor
    • G01N33/543Immunoassay; Biospecific binding assay; Materials therefor with an insoluble carrier for immobilising immunochemicals
    • G01N33/54366Apparatus specially adapted for solid-phase testing
    • G01N33/54373Apparatus specially adapted for solid-phase testing involving physiochemical end-point determination, e.g. wave-guides, FETS, gratings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/02Identification, exchange or storage of information
    • B01L2300/023Sending and receiving of information, e.g. using bluetooth
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/06Auxiliary integrated devices, integrated components
    • B01L2300/0627Sensor or part of a sensor is integrated
    • B01L2300/0636Integrated biosensor, microarrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/06Auxiliary integrated devices, integrated components
    • B01L2300/0627Sensor or part of a sensor is integrated
    • B01L2300/0645Electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0214Biosensors; Chemical sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/058Microfluidics not provided for in B81B2201/051 - B81B2201/054
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/015Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • B81B2207/091Arrangements for connecting external electrical signals to mechanical structures inside the package
    • B81B2207/094Feed-through, via
    • B81B2207/096Feed-through, via through the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Molecular Biology (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Hematology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Electrochemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Urology & Nephrology (AREA)
  • Biomedical Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Geometry (AREA)
  • Clinical Laboratory Science (AREA)
  • Medicinal Chemistry (AREA)
  • Microbiology (AREA)
  • Biotechnology (AREA)
  • Food Science & Technology (AREA)
  • Cell Biology (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)
  • Automatic Analysis And Handling Materials Therefor (AREA)
  • Investigating Or Analyzing Materials By The Use Of Electric Means (AREA)
  • Auxiliary Devices For And Details Of Packaging Control (AREA)
  • Container Filling Or Packaging Operations (AREA)

Abstract

바이오센서 시스템 패키지는: 전방 면 및 후방 면을 갖는 반도체 층 내의 트랜지스터 구조체 - 트랜지스터 구조체는 채널 영역을 포함함 -; 반도체 층의 전방 면 상의 다층 인터커넥트(MLI) 구조체 - 트랜지스터 구조체는 MLI 구조체에 전기적으로 연결됨 -; MLI 구조체 상의 캐리어 기판; 캐리어 기판을 통해 연장되고 MLI 구조체와 분리 다이 사이에 전기적 커넥션을 제공하도록 구성되는 제1 기판 관통 비아(TSV) 구조체; 반도체 층의 후방 면 상의 매립 산화물(BOX) 층 - 여기서 매립 산화물 층은 채널 영역의 후방 면 상의 개구를 가지며, 계면 층이 채널 영역 위의 후방 면을 커버함 -; 및 매립 산화물 층에 부착되는 미세 유체 채널 캡 구조체를 포함한다.

Description

고체 상태 바이오센서, 미세 유체들, 및 실리콘 관통 비아의 웨이퍼 레벨 패키징{WAFER-LEVEL PACKAGING OF SOLID-STATE BIOSENSOR, MICROFLUIDICS, AND THROUGH-SILICON VIA}
[우선권 주장 및 상호 참조]
본 출원은 2020년 1월 30일자로 출원된 미국 가특허 출원 제62/967,890호에 대한 우선권을 주장하고, 이 미국 가특허 출원의 개시내용은 이로써 그 전체가 참조로 포함된다.
바이오센서(biosensor)들은 생체 분자들을 감지 및 검출하기 위한 디바이스들이고, 전자적, 전기 화학적, 광학적, 그리고 기계적 검출 원리들에 기초하여 동작한다. 트랜지스터들을 포함하는 바이오센서들은, 생체 개체(bio-entity)들 또는 생체 분자들의 전하들, 광자들, 및 기계적 특성들을 전기적으로 감지하는 센서들이다. 검출은 생체 개체들 또는 생체 분자들 자체를 검출함으로써, 또는 특정된 반응물들과 생체 개체들/생체 분자들 사이의 상호작용 및 반응을 통해 수행될 수 있다. 그러한 바이오센서들은 반도체 프로세스들을 사용하여 제작될 수 있고, 전기 신호들을 빠르게 변환할 수 있으며, 집적 회로(integrated circuit)(IC)들 및 미세 전자 기계 시스템들(microelectromechanical systems)(MEMS)에 쉽게 적용될 수 있다.
본 개시내용의 양태들은 첨부 도면들과 함께 판독할 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관례에 따르면, 다양한 피처(feature)들이 일정한 비율로 그려지지 않는다는 것에 주목한다. 실제로, 다양한 피처들의 치수들이 논의의 명료성을 위해 임의로 증가 또는 감소될 수도 있다.
도 1a는 일부 실시예들에 따른 예시적인 바이오센서 시스템의 블록 다이어그램이다.
도 1b는 일부 실시예들에 따른, 도 1a의 바이오센서 시스템에서 사용되는 예시적인 바이오센서의 개략적 다이어그램이다.
도 2는 일부 실시예들에 따른 바이오센서 시스템 패키지를 예시하는 단면 다이어그램이다.
도 3a 및 도 3b는 일부 실시예들에 따른, 도 2의 바이오센서 시스템 패키지를 제조하는 방법을 예시하는 플로차트 다이어그램들이다.
도 3c는 일부 실시예들에 따른, 도 3a 및 도 3b의 방법의 단계를 예시하는 플로차트 다이어그램이다.
도 4 내지 도 24는 일부 실시예들에 따른, 도 3a 및 도 3b의 방법의 하나 이상의 단계들에 따라 구성되는 바이오센서 시스템 패키지를 예시하는 단면 다이어그램들이다.
도 25a 및 도 25b는 일부 실시예들에 따른, 바이오센서 시스템 패키지를 제조하는 다른 방법을 예시하는 플로차트 다이어그램들이다.
도 26 내지 도 30은 일부 실시예들에 따른, 도 25a 및 도 25b의 방법의 하나 이상의 단계들에 따라 구성되는 바이오센서 시스템 패키지를 예시하는 단면 다이어그램들이다.
도 31은 일부 실시예들에 따른 바이오센서 시스템 패키지를 예시하는 단면 다이어그램이다.
도 32a 및 도 32b는 일부 실시예들에 따른, 도 31의 바이오센서 시스템 패키지를 제조하는 방법을 예시하는 플로차트 다이어그램들이다.
도 32c는 일부 실시예들에 따른, 도 32a 및 도 32b의 방법의 단계를 예시하는 플로차트 다이어그램이다.
도 33 내지 도 47은 일부 실시예들에 따른, 도 32a 및 도 32b의 방법의 하나 이상의 단계들에 따라 구성되는 바이오센서 시스템 패키지를 예시하는 단면 다이어그램들이다.
도 48은 일부 실시예들에 따른 바이오센서 시스템 패키지를 예시하는 단면 다이어그램이다.
다음의 개시내용은 제공된 청구 대상의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예들을 제공한다. 본 개시내용을 단순화하기 위해 컴포넌트들 및 배열들의 특정 예들이 아래에 설명된다. 이들은, 물론, 단지 예들일 뿐이고 제한하는 것으로 의도된 것이 아니다. 예를 들어, 후속하는 설명에서 제2 피처 위의 또는 제2 피처 상의 제1 피처의 형성은 제1 및 제2 피처들이 직접 접촉하여 형성되는 실시예들을 포함할 수도 있고, 제1 및 제2 피처들이 직접 접촉하지 않을 수도 있도록 제1 및 제2 피처들 사이에 부가적인 피처들이 형성될 수도 있는 실시예들을 또한 포함할 수도 있다. 부가적으로, 본 개시내용에는 다양한 예들에서 참조 번호들 및/또는 문자들이 반복될 수도 있다. 이 반복은 단순성 및 명확성의 목적을 위한 것이고, 그 자체가, 논의된 다양한 실시예들 및/또는 구성들 사이의 관계에 영향을 주지 않는다.
추가로, "밑에(beneath)", "아래에(below)", "하부(lower)", "위에(above)", "상부(upper)" 및 이와 유사한 것과 같은 공간적으로 관련된 용어들은 본 명세서에서 도면들에 예시된 바와 같은 하나의 요소 또는 피처와 다른 요소(들) 또는 피처(들)와의 관계를 설명하는 설명의 용이성을 위해 사용될 수도 있다. 공간적으로 관련된 용어들은 도면들에 도시된 배향(orientation)에 부가적으로 사용 또는 동작에 있어서의 디바이스의 상이한 배향들을 포괄하도록 의도된다. 장치는 이와 다르게 배향될(90도 또는 다른 배향들로 회전될) 수도 있고, 이에 따라 본 명세서에서 사용되는 공간적으로 관련된 기술어(descriptor)들도 마찬가지로 해석될 수도 있다.
일반적으로, 본 명세서에서 사용되는 바와 같은 용어 "bioFET"는, 생물학적 기원의 표적 분석물(target analyte)의 존재를 검출하기 위해 표면 수용체들로서 작용하는 고정화된 포획 시약(immobilized capture reagent)들의 층을 포함하는 전계 효과 트랜지스터(field-effect transistor)(FET)를 지칭한다. 일부 실시예들에 따르면, bioFET는 반도체 트랜스듀서를 갖는 전계 효과 센서이다. bioFET들의 하나의 이점은 무표지 동작(label-free operation)의 가능성이다. 구체적으로는, bioFET들은, 예를 들어, 형광 또는 방사성 프로브들을 이용한 분석물의 표지와 같은, 비용이 많이 들고 시간 소모적인 표지 동작들의 회피를 가능하게 한다. bioFET에 의한 검출을 위한 분석물들은 통상적으로, 단백질들, 탄수화물들, 지질들, 조직 단편들, 또는 이들의 부분들과 같은 - 제한 없음 - 생물학적 기원으로 될 것이다. BioFET는 더 광범위한 속(genus)의 FET 센서들의 일부일 수 있는데, 이 더 광범위한 속의 FET 센서들은 또한 임의의 화학적 화합물을 검출할 수도 있는 것(본 기술분야에서 "ChemFET"라고 알려져 있음) 또는 양성자들 또는 금속성 이온들과 같은 이온들을 포함하는 임의의 다른 원소를 검출할 수도 있는 것(본 기술분야에서 "ISFET"라고 알려져 있음)이다. 본 개시내용은 모든 타입들의 FET 기반 센서들("FET 센서")에 적용된다.
본 명세서에서 사용되는 바와 같은, "포획 시약"은, 실질적으로 고체 재료에 직접 또는 간접적으로 부착될 수 있는, 표적 분석물 또는 표적 시약을 결합시키는 것이 가능한 분자 또는 화합물이다. 포획 시약은 화학물, 그리고 구체적으로는 자연 발생 표적 분석물(예를 들어, 항체, 폴리펩티드, DNA, RNA, 세포, 바이러스 등)이 존재하거나 또는 표적 분석물이 준비될 수 있는 임의의 물질일 수 있고, 포획 시약은 분석 시에 하나 이상의 표적 분석물들에 결합될 수 있다.
본 명세서에서 사용되는 바와 같은, "표적 분석물"은, 본 개시내용을 사용하여 테스트 샘플에서 검출될 물질이다. 표적 분석물은 화학물, 그리고 구체적으로는 자연 발생 포획 시약(예를 들어, 항체, 폴리펩티드, DNA, RNA, 세포, 바이러스 등)이 존재하거나 또는 포획 시약이 준비될 수 있는 임의의 물질일 수 있고, 표적 분석물은 분석 시에 하나 이상의 포획 시약들에 결합될 수 있다. "표적 분석물"은 또한 임의의 항원 물질들, 항체들, 또는 이들의 조합들을 포함한다. 표적 분석물은, 단백질, 펩티드, 아미노산, 탄수화물, 호르몬, 스테로이드, 비타민, 불법적인 목적들을 위해 투여되는 것들뿐만 아니라 치료 목적들을 위해 투여되는 것들을 포함하는 약물, 박테리아, 바이러스, 및 상기의 물질들 중 임의의 것의 대사 산물들 또는 그에 대한 항체들을 포함할 수 있다.
본 명세서에서 사용되는 바와 같은, "테스트 샘플"은, 본 개시내용을 사용하여 검출 및 분석될 표적 분석물을 함유하는 조성물, 용액, 물질, 가스, 또는 액체를 의미한다. 테스트 샘플은 표적 분석물 외에 다른 성분들을 함유할 수 있고, 액체 또는 가스의 물리적 속성들을 가질 수 있으며, 예를 들어, 액체 또는 가스의 이동 스트림을 포함하는 임의의 사이즈 또는 체적으로 될 수 있다. 다른 물질들이 표적 분석물과 포획 시약의 결합 또는 제1 결합 멤버와 제2 결합 멤버의 특정 결합과 간섭하지 않는 한, 테스트 샘플은 표적 분석물 이외의 임의의 물질들을 함유할 수 있다. 테스트 샘플들의 예들은 자연 발생 및 비-자연 발생 샘플들 또는 이들의 조합들을 포함하지만, 이에 제한되지 않는다. 자연 발생 테스트 샘플들은 합성이거나 또는 합성화(synthesize)될 수 있다. 자연 발생 테스트 샘플들은, 혈액, 혈장, 혈청, 소변, 타액 또는 가래, 척수액(spinal fluid), 뇌척수액, 흉막액, 유두 흡인물들, 림프액, 호흡기, 장(intestinal), 및 비뇨 생식관들의 유체, 누액(tear fluid), 타액, 모유, 림프계로부터의 유체, 정액, 뇌척수액, 기관계 내 유체, 복수액, 종양 낭종액, 양수(amniotic fluid) 및 이들의 조합들을 포함하지만 이에 제한되지 않는, 피험자의 신체 내에서의 또는 그 상에서의 임의의 곳으로부터 격리되는 신체 또는 신체의 유체들, 및 지하수 또는 폐수, 토양 추출물들, 공기와 같은 환경 샘플들, 및 농약 잔류물들 또는 식품 관련 샘플들을 포함한다.
검출된 물질들은, 예를 들어, 핵산들(DNA 및 RNA를 포함함), 호르몬들, 다양한 병원체들(숙주에 질병 또는 병을 유발하는 생물학적 작용제, 예컨대 바이러스(예를 들어, H7N9 또는 HIV), 원생동물(예를 들어, 열원충(Plasmodium)-유발 말라리아), 또는 박테리아(예를 들어, 대장균(E. coli) 또는 결핵균(Mycobacterium tuberculosis))를 포함함), 단백질들, 항체들, 다양한 약물들 또는 치료제들, 또는 수소 또는 다른 이온들, 비-이온성 분자들 또는 화합물들, 다당류들, 화학적 조합 라이브러리 멤버들과 같은 작은 화학적 화합물들을 포함하는 다른 화학적 또는 생물학적 물질들, 및 이와 유사한 것을 포함할 수 있다. 검출되거나 또는 결정된 파라미터들은 pH 변화들, 락토스 변화들, 농도 변화, 입자들(예를 들어, 희박한 입자들)을 검출하기 위해 일 기간 동안 디바이스를 통해 유체가 유동하는 단위 시간당 입자들, 및 다른 파라미터들을 포함할 수도 있지만, 이에 제한되지 않는다.
본 명세서에서 사용되는 바와 같이, "고정화된"이라는 용어는, 예를 들어, 포획 시약과 관련하여 사용될 때, 분자 레벨에서의 포획 시약을 표면에 실질적으로 부착시키는 것을 포함한다. 예를 들어, 포획 시약은 비-공유결합성 상호작용(non-covalent interaction)들(예를 들어, 정전기력들, 반 데르 발스(van der Waals), 및 소수성 계면(hydrophobic interface)들의 탈수)을 포함하는 흡착 기법들, 및 작용기들 또는 링커들이 포획 시약을 표면에 부착시키는 것을 용이하게 하는 공유결합성 결합 기법들을 사용하여 기질 재료의 표면에 고정화될 수도 있다. 포획 시약을 기질 재료의 표면에 고정화시키는 것은 기질 표면의 특성들, 포획 시약을 포함하는 매질, 및 포획 시약의 특성들에 기초할 수도 있다. 일부 경우들에서, 기질 표면은 작용기들이 표면에 결합되게 하도록 먼저 개질될 수도 있다. 그 후에, 작용기들은 생체 분자들 또는 생물학적 또는 화학적 물질들에 결합하여 이들을 그 위에 고정화시킬 수도 있다.
바이오센서들에 의해 수집되는 데이터는 데이터 프로세싱을 위해 분리 칩(즉, 다이) 상의 동적 랜덤 액세스 메모리(dynamic random-access memory)(DRAM)들과 같은 메모리 디바이스들로 전송될 필요가 있다. 바이오센서 칩 및 DRAM 칩은 인쇄 회로 보드(printed circuit board)(PCB) 상의 상이한 위치들 상에 있다. 바이오센서들의 더 높은 해상도의 어레이(예를 들어, 1024 × 1024)의 경우, 바이오센서 칩과 DRAM 사이의 라우팅이 데이터 전송 레이트와 대역폭을 제한할 뿐만 아니라 전력 소비와 데이터 왜곡을 증가시킨다. 바이오센서와 DRAM 사이의 데이터 신호 경로의 대역폭을 증가시키면 신호 경로의 저항, 커패시턴스, 인덕턴스, 노이즈, 및 왜곡을 감소시킬 것을 요구한다. 그에 따라, 경로의 저항, 커패시턴스, 및 인덕턴스와 연관된 전력 및 대역폭 이슈들을 감소시키기 위해 바이오센서와 DRAM 사이의 신호 경로의 물리적 거리를 감소시키는 것이 바람직하다. 그러나, 바이오센서 칩 및 DRAM이 PCB 보드 상에 별개로 위치되는 경우, 신호 경로 감소에 대한 물리적 한계들이 있다.
일부 실시예들에 따르면, 바이오센서(또는 바이오센서 어레이)를 미세 유체 채널 캡 구조체(microfluidic channel cap structure)와 통합하기 위해 웨이퍼 레벨 패키징이 제공된다. 미세 유체 채널 캡 구조체는 상보성 금속 산화물 반도체(complementary metal-oxide-semiconductor)(CMOS) 호환가능 프로세스 플로(compatible process flow)를 사용하여 제조된다. 이것은 다이-레벨 패키징에 비해 확장가능하고 비용 효율적인 형태의 제작이다. 칩들/다이들 사이에 접하는 실리콘 관통 비아(through silicon via)(TSV)의 사용을 통해, 바이오센서 어레이, DRAM, 및 프로세싱 칩들 사이의 신호 경로가 최대 범위로 최소화된다. 이것은 칩들/다이들 사이의 전도성 경로들에서 저항, 커패시턴스, 및 인덕턴스를 감소시키는데, 이는 차례로 전력 소비, 딜레이, 노이즈 및 왜곡을 감소시킬 뿐만 아니라 대역폭을 증가시킨다. 이것은 더 높은 해상도의 바이오센서 어레이들로부터의 데이터를 훨씬 더 빠르게 분석되게 한다. 일 실시예에서, 바이오센서 시스템 패키지는 (캐리어 기판을 통한 제1 TSV 구조체를 사용하여) 전방 면에서의 하나의 분리 칩에 연결될 수 있다. 다른 실시예에서, 바이오센서 시스템 패키지는 (캡 구조체 기판을 통한 제2 TSV 구조체를 사용하여) 후방 면에서의 다른 분리 칩에 연결될 수 있다. 또 다른 실시예에서, 바이오센서 시스템 패키지는 (캐리어 기판을 통한 제1 TSV 구조체를 사용하여) 전방 면에서의 하나의 분리 칩에 그리고 (캡 구조체 기판을 통한 제2 TSV 구조체를 사용하여) 후방 면에서의 다른 분리 칩에 양측 모두 연결될 수 있다.
본 개시내용의 실시예들은 바이오센서 분석기 시스템의 통합 및 소형화를 증가시킬 수도 있다. 본 개시내용의 실시예들은 또한, 바이오센서(또는 바이오센서 어레이)를, 지원하는 전자 장치들과 함께 직접 패키징함으로써 PCB에 의해 필요한 공간을 감소시킬 수도 있다. 부가적으로, 미세 유체 채널은 호환가능 프로세스 플로에서 바이오센서(또는 바이오센서 어레이)와 함께 직접 제조될 수 있다.
도 1a는 일부 실시예들에 따른 예시적인 바이오센서 시스템(100)의 블록 다이어그램이다. 도 1b는 일부 실시예들에 따른, 도 1a의 바이오센서 시스템(100)에서 사용되는 예시적인 바이오센서(103)의 개략적 다이어그램이다. 도 1a에 도시된 바와 같이, 예시적인 바이오센서 시스템(100)은, 다른 것들 중에서도, 센서 어레이(102), 유체 전달 시스템(104), 판독 회로(106) 및 제어기(108)를 포함할 수도 있다.
센서 어레이(102)는, 생물학적 또는 화학적 분석물을 검출하기 위한 적어도 하나의 감지 요소를 가질 수도 있다. 센서 어레이(102)는 바이오센서들(예를 들어, 도 1b에 도시된 바이오센서(103))의 어레이를 포함할 수도 있고, 여기서 어레이에서의 바이오센서들 중 하나 이상은 특정 표적 분석물을 검출하도록 기능화된다. 바이오센서들 중 상이한 것들이 상이한 표적 분석물들을 검출하기 위해 상이한 포획 시약들을 사용하여 기능화될 수도 있다. 바이오센서들은 복수의 로우(row)들 및 컬럼(column)들에 배열되어, 2차원 어레이의 바이오센서들을 형성할 수도 있다. 일부 실시예들에서, 바이오센서들의 각각의 로우는 상이한 포획 시약을 사용하여 기능화된다. 일부 실시예들에서, 바이오센서들의 각각의 컬럼은 상이한 포획 시약을 사용하여 기능화된다. 일부 실시예들에서, 바이오센서들의 특정 범위의 로우들 및 컬럼들은 상이한 포획 시약을 사용하여 기능화된다. 예시적인 바이오센서(103)에 관한 추가의 세부사항들이 도 1b를 참조하여 아래에 제공된다.
유체 전달 시스템(104)은 센서 어레이(102)에 하나 이상의 유체 샘플들을 전달할 수도 있다. 유체 전달 시스템(104)은, 센서 어레이(102) 위의 유체를 포함시키기 위해 센서 어레이(102) 위에 포지셔닝되는 미세 유체 웰일 수도 있다. 유체 전달 시스템(104)은, 센서 어레이(102)에 다양한 유체들을 전달하기 위한 미세 유체 채널들을 또한 포함할 수도 있다. 유체 전달 시스템(104)은, 센서 어레이(102)에 유체를 전달하도록 설계되는 임의의 수의 밸브들, 펌프들, 챔버들, 채널들을 포함할 수도 있다.
일부 실시예들에 따르면, 판독 회로(106)는 센서 어레이(102)에서의 센서들로부터의 신호들을 측정하도록 그리고 표적 용액에 존재하는 특정 분석물의 양을 표시하는 정량화가능한 센서 신호를 생성하도록 제공된다.
제어기(108)는 생체 감지 또는 화학적 감지 측정들을 수행하기 위해 센서 어레이(102)와 판독 회로(106) 양측 모두에 전기 신호들을 전송 및 수신할 수도 있다. 제어기(108)는, 예를 들어, 하나 이상의 밸브들, 펌프들, 또는 모터들을 작동시키기 위해 유체 전달 시스템(104)에 전기 신호들을 또한 전송할 수도 있다. 제어기(108)는 마이크로프로세서와 같은 하나 이상의 프로세싱 디바이스들을 포함할 수도 있고, 판독 회로(106), 센서 어레이(102) 및/또는 유체 전달 시스템(104)의 동작을 제어하도록 프로그래밍가능할 수도 있다.
도 1b에 도시된 바와 같이, 예시적인 바이오센서(103)는, 다른 것들 중에서도, 유체 게이트(112), 소스 영역(114), 드레인 영역(116), 감지 필름(118), 채널 영역(120)을 포함할 수도 있다. 유체 전달 시스템(104)은 감지 필름(118) 위에 유체(122)를 제공한다. 유체(122)는 도시되지 않은 분석물을 함유할 수도 있다. 감지 필름(118)은, 유체(122)를 채널 영역(120)으로부터 분리시키는 전기적 및 화학적 절연 층일 수도 있다. 감지 필름(118)은, 다른 것들 중에서도, 포획 시약의 층을 포함할 수도 있다. 포획 시약은 분석물에 특정적이고 표적 분석물 또는 표적 시약을 결합시키는 것이 가능하다. 분석물의 결합 시에, 감지 필름(118)의 표면에서 정전기 전위의 변화들이 발생하는데, 이는 차례로 바이오센서(103)의 정전기 게이팅 효과, 및 소스 전극과 드레인 전극 사이의 전류 Ids(126)의 측정가능한 변화를 발생시킨다. 유체 게이트(112)에 인가된 전압이 또한 Ids(126)를 변화시킬 수도 있다.
바이오센서(103) 또는 바이오센서 어레이(102)를 미세 유체 채널과 통합하여 패키지를 형성하기 위해 웨이퍼 레벨 패키징이 사용된다. 부가적으로, 칩들(즉, 다이들)이 실리콘 관통 비아(TSV)를 통해 패키지의 상부 부분 또는 저부(bottom) 부분에 연결된다. 웨이퍼 레벨 패키징 및 TSV 커넥션에 관한 추가의 세부사항들이 아래에 제공된다.
도 2는 일부 실시예들에 따른 바이오센서 시스템 패키지(200)를 예시하는 단면 다이어그램이다. 도 3a 및 도 3b는 일부 실시예들에 따른, 도 2의 바이오센서 시스템 패키지를 제조하는 방법(300)을 예시하는 플로차트 다이어그램들이다. 도 4 내지 도 24는 일부 실시예들에 따른, 도 3a 및 도 3b의 방법의 하나 이상의 단계들에 따라 구성되는 바이오센서 시스템 패키지를 예시하는 단면 다이어그램들이다.
도 2에 도시된 바와 같이, 바이오센서 시스템 패키지(200)는 전방 면(F) 및 후방 면(B)을 갖는다. 도 2에 도시된 예에서, 바이오센서 시스템 패키지(200)는, 다른 것들 중에서도, 매립 산화물(buried oxide)(BOX) 층(206), 및 반도체 층(208), 트랜지스터 구조체(즉, FET)(210), 멀티레벨-인터커넥트(multilevel-interconnect)(MLI) 구조체(212), 캐리어 기판(220), TSV 구조체(246), 솔더 범프(248), 분리 칩/다이(예를 들어, RAM 및 데이터 프로세싱 칩)(250), 트렌치(222), 계면 층(예를 들어, 하이-k 재료 층(high-k material layer))(224), 및 미세 유체 채널 캡 구조체(228)를 포함한다. 분리 칩(250)은 전방 면(F)에서 솔더 범프 본딩을 사용하여 TSV 구조체(246)에 연결된다. 미세 유체 채널 캡 구조체(228)는 후방 면(B)에 부착된다. 미세 유체 채널 캡 구조체(228)는, 다른 것들 중에서도, 캡 구조체(230), 챔버(244), 유입구/유출구 포트들(240'), 그리고 임의로 하이-k 산화물 재료 층(242)을 포함한다. 챔버(244)는 테스트될 유체 샘플들을 수용할 수 있다. 유입구/유출구 포트들(240')은 유체 샘플들의 유입 및 유출을 위해 튜브(들)(252)를 통해 펌프들 및/또는 리저버들에 연결될 수 있다. 바이오센서 시스템 패키지(200)의 컴포넌트들의 세부사항들이 도 3a 내지 도 3c 및 도 4 내지 도 24를 참조하여 아래에 설명될 것이다.
도 3a 및 도 3b에 도시된 바와 같이, 방법(300)은 바이오센서 시스템 패키지를 제조하는 데 사용된다. 도 3c는 일부 실시예들에 따른 방법(300)의 단계 320을 예시하는 플로차트 다이어그램이다. 방법의 부가적인 실시예들을 위해, 방법(300) 이전에, 그 동안에, 그리고 그 이후에 부가적인 단계들이 제공될 수 있고, 아래에 설명되는 단계들 중 일부가 대체 또는 제거될 수 있다는 것에 주목해야 한다. 추가로, 방법(300)은 CMOS 호환가능 프로세스 플로라는 것에 주목해야 한다.
방법(300)은 기판이 제공되는 단계 302에서 시작한다. 기판은 반도체 기판(예를 들어, 웨이퍼)일 수도 있다. 반도체 기판은 실리콘 기판일 수도 있다. 대안적으로, 기판은, 다른 기본 반도체, 예컨대 게르마늄; 실리콘 탄화물, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비화물, 및/또는 인듐 안티몬화물을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 합금 반도체; 또는 이들의 조합물들을 포함할 수도 있다. 도 3a 및 도 3b 및 도 4 내지 도 38에 도시된 실시예에서, 기판은 반도체 온 절연체(semiconductor on insulator)(SOI) 기판(202)이다. 도 4에 도시된 SOI 기판(202)은 벌크 실리콘 층(204), 매립 산화물(BOX) 층(206), 및 반도체 층(208)(즉, 활성 층(208))을 포함한다. 매립 산화물 층(206)은 주입된 산소에 의한 분리(separation by implanted oxygen)(SIMOX)와 같은 프로세스, 및/또는 다른 적합한 프로세스들에 의해 형성될 수도 있다. 반도체 층(208)은 p-웰들 및 n-웰들과 같은 도핑된 영역들을 포함할 수도 있다.
그 후에, 방법은 트랜지스터 구조체가 기판 상에 형성되는 단계 304로 진행한다. 트랜지스터 구조체(즉, FET)는, 게이트 구조체, 소스 영역, 드레인 영역, 및 소스 및 드레인 영역들을 개재하는 채널 영역을 포함할 수도 있다. 도 4의 예에 도시된 바와 같이, FET(210)의 소스, 드레인, 및/또는 채널 영역은 반도체 층(208)에서의 활성 영역 상에 형성될 수도 있다. FET(210)는 n-타입 FET(nFET) 또는 p-타입 FET(pFET)일 수도 있다. 예를 들어, 소스/드레인 영역들은 FET 구성에 따라 n-타입 도펀트들 또는 p-타입 도펀트들을 포함할 수도 있다. 게이트 구조체는 게이트 유전체 층, 게이트 전극 층, 및/또는 다른 적합한 층들을 포함할 수도 있다. 실시예에서, 게이트 전극은 폴리실리콘이다. 다른 예시적인 게이트 전극들은, Cu, W, Ti, Ta, Cr, Pt, Ag, Au와 같은 재료를 포함하는 금속 게이트 전극들; TiN, TaN, NiSi, CoSi와 같은 적합한 금속성 화합물들; 이들의 조합물들; 및/또는 다른 적합한 전도성 재료들을 포함한다. 실시예에서, 게이트 유전체는 실리콘 산화물이다. 다른 예시적인 게이트 유전체들은 실리콘 질화물, 실리콘 산질화물, 높은 유전 상수(하이-k)를 갖는 유전체, 및/또는 이들의 조합물들을 포함한다. 하이-k 재료들의 예들은 하프늄 실리케이트, 하프늄 산화물, 지르코늄 산화물, 알루미늄 산화물, 탄탈륨 오산화물, 하프늄 이산화물-알루미나(HfO2-Al2O3) 합금, 또는 이들의 조합물들을 포함한다. FET(210)는 전형적인 CMOS 프로세스들 예컨대, 포토리소그래피; 이온 주입; 확산; 물리 기상 성막(physical vapor deposition)(PVD), 금속 증발 또는 스퍼터링, 화학 기상 성막(chemical vapor deposition)(CVD), 플라즈마 강화 화학 기상 성막(plasma-enhanced chemical vapor deposition)(PECVD), 대기압 화학 기상 성막(atmospheric pressure chemical vapor deposition)(APCVD), 저압 CVD(low-pressure CVD)(LPCVD), 고밀도 플라즈마 CVD(high density plasma CVD)(HDPCVD), 원자 층 성막(atomic layer deposition)(ALD), 스핀 온 코팅(spin on coating)을 포함하는 성막; 습식 에칭, 건식 에칭, 및 플라즈마 에칭을 포함하는 에칭; 및/또는 다른 적합한 CMOS 프로세스들을 사용하여 형성될 수도 있다.
그 후에, 방법(300)은 다층 인터커넥트(multi-layer interconnect)(MLI) 구조체가 트랜지스터 구조체 위에 형성되는 단계 306으로 진행한다. MLI 구조체는 전도성 라인들, 전도성 수직 인터커넥트 액세스(vertical interconnect access)들(비아들), 및/또는 개재 유전체 층들(예를 들어, 층간 유전체(ILD) 층들)을 포함할 수도 있다. MLI 구조체는, 단계 304를 참조하여 상술된 트랜지스터(즉, FET)에의 물리적 및 전기적 커넥션을 제공할 수도 있다. 전도성 라인들은 구리, 알루미늄, 텅스텐, 탄탈륨, 티타늄, 니켈, 코발트, 금속 실리사이드, 금속 질화물, 폴리 실리콘, 이들의 조합물들, 및/또는 가능하게는 하나 이상의 층들 또는 라이닝들을 포함하는 다른 재료들을 포함할 수도 있다. 개재 유전체 층들(예를 들어, ILD 층들)은 실리콘 이산화물, 불소화 실리콘 유리(FGS), SILK(미시간 소재의 Dow Chemical의 제품), BLACK DIAMOND(캘리포니아주 산타 클라라 소재의 Applied Materials의 제품), 및/또는 다른 적합한 절연 재료들을 포함할 수도 있다. MLI 구조체는 CVD, PVD, ALD, 도금, 스핀 온 코팅, 및/또는 다른 프로세스들과 같은 CMOS 제조에 있어서 전형적인 적합한 프로세스들에 의해 형성될 수도 있다.
도 4의 예에 도시된 바와 같이, MLI 구조체(212)가 기판(202) 상에 그리고 FET(210) 위에 배치된다. MLI 구조체(212)는, 전도성 비아들 또는 플러그들(216)에 의해 연결되는 복수의 전도성 라인들(214)을 포함한다. 일 실시예에서, 전도성 라인들(214)은 알루미늄 및/또는 구리를 포함한다. 일 실시예에서, 비아들 또는 플러그들(216)은 텅스텐을 포함한다. 다른 실시예에서, 비아들 또는 플러그들(216)은 구리를 포함한다. 일 실시예에서, 개재 유전체 층들(218)은 MLI 구조체(212)의 전도성 피처들을 개재하는 것을 포함하여 기판(202) 상에 배치된다. 개재 유전체 층들(218)은 ILD 층들일 수도 있다. 다른 실시예에서, 유전체 층(218)은 단일 ILD 층이다. 일 실시예에서, 개재 유전체 층(218) 각각은 실리콘 산화물을 포함한다. MLI 구조체(212)는 FET(210)의 게이트 및/또는 소스/드레인에의 전기적 커넥션을 제공할 수도 있다. 도 4의 예에 도시된 바와 같이, MLI 구조체(212)는 전방 면(F)에 있는 한편, 기판(202)은 후방 면(B)에 있다.
그 후에, 방법(300)은 캐리어 기판이 전방 면(F)에 부착되는 단계 308로 진행한다. 다시 말해, 캐리어 기판은 MLI 구조체에 부착된다. 캐리어 기판은 후속 단계들 동안 전방 면(F)을 보호할 수도 있다. 일 실시예에서, 캐리어 기판은 MLI 구조체에 본딩된다. 다른 실시예에서, 캐리어 기판은, MLI 구조체 상에 형성되는 패시베이션 층에 본딩된다. 캐리어 기판은, 융합(fusion), 확산, 공융(eutectic), 및/또는 다른 적합한 본딩 방법들을 사용하여 부착될 수도 있다. 캐리어 기판을 위한 예시적인 조성물들은 실리콘, 유리, 및 석영을 포함한다. 다른 조성물들이 가능하고 본 개시내용의 범주 내에 있다는 것에 주목해야 한다. 도 5의 예에 도시된 바와 같이, 캐리어 기판(220)이 MLI 구조체(212)에 부착된다. 일부 실시예들에서, 캐리어 기판(220)은, 인터커넥트 피처들, 웨이퍼 본딩 사이트(wafer bonding site)들, 규정된 공동(cavity)들, 및/또는 다른 적합한 피처들과 같은 기능성들을 포함할 수도 있다.
그 후에, 방법(300)은 웨이퍼가 플립(flip)되는 단계 310으로 진행한다. 도 6에 도시된 바와 같이, 후방 면(B)이 상부 상에 있다. 다시 말해, 벌크 실리콘 층(204)이 상부 상에 있다. 그 후에, 방법(300)은 벌크 실리콘 층(204)이 제거되는 단계 312로 진행한다. 제거는 기계적 또는 화학적 수단에 의해 달성될 수도 있다. 예를 들어, 기계적 수단은 화학적 기계적 연마(chemical mechanical polishing)(CMP)와 같은 연마 또는 그라인딩을 포함한다. 화학적 수단은, 플라즈마 및 비-플라즈마 에치를 포함하여 건식 에치 또는 HF/질산/아세트산(HNA) 또는 테트라메틸암모늄 수산화물(TMAH)과 같은 습식 에치를 포함한다. 도 7의 예에 도시된 바와 같이, 도 6의 벌크 실리콘 층(204)이 제거된다. 매립 산화물 층(206)이 후방 면(B)의 상부 상에 있다.
그 후에, 방법(300)은 매립 산화물 층이 후방 면(B)에 개구를 형성하도록 패터닝되는 단계 314로 진행한다. 매립 산화물 층 상에 포토레지스트 패턴이 형성된다. 일부 실시예들에서, 포토레지스트 패턴은 바이오센서 시스템 패키지(200)의 후면(B)을 노출시키기 위한 후속 비-플라즈마 에치로부터 매립 산화물 층의 일부를 보호한다. 구체적으로는, 포토레지스트 패턴은 단계 304에서 형성된 트랜지스터 구조체의 활성 영역을 노출시키기 위한 후속 비-플라즈마 에치로부터 매립 산화물 층의 일부를 보호한다. 비-플라즈마 에치는, 플라즈마를 수반하지 않는 건식 에치 또는 습식 에치일 수도 있다. 일부 실시예들에서, 후방 면(B)에 개구를 형성하기 위해 2-단계 에치 프로세스가 채용될 수도 있다. 제1 에칭 단계는 플라즈마를 포함하고 제2 에칭 단계는 비-플라즈마 에치이다. 도 8의 예에 도시된 바와 같이, 비-플라즈마 에치는, FET(210)의 채널 영역(219)을 노출시키는 저부를 갖는 트렌치(222)를 형성한다. 비-플라즈마 에치는 채널 영역(219)의 노출된 표면에서 플라즈마 유도 손상(plasma-induced damage)(PID)을 회피하는 데 사용된다. 비제한적인 예에서, 트렌치(222)의 높이는 0.3㎛ 내지 1㎛의 범위에 있을 수도 있는 한편, 트렌치(222)의 폭은 (일부 극단적인 경우들에서) 0.5㎛ 내지 200㎛의 범위에 있을 수도 있다. 일부 실시예들에서, 트렌치(222)의 측벽 프로파일은 실질적으로 직선이다. 비-플라즈마 에치 후에, 포토레지스트 패턴이 제거된다. 스트리핑 및 오존 애싱과 같은, PID가 없는 포토레지스트 제거 프로세스가 사용될 수도 있다. 트렌치(222)의 노출된 표면 및 FET(210)의 채널 영역의 노출된 표면은 플라즈마 유도 손상(PID)에 취약하기 때문에, 일부 플라즈마 애싱 프로세스들은 포토레지스트 패턴을 제거하는 데 사용되지 않을 수도 있다.
그 후에, 방법(300)은 단계 316으로 진행한다. 단계 316에서, 계면 층이 성막된다. 일 실시예에서, 계면 층은 하이-k 재료 층이다. 계면 층은 생체 분자들 또는 생체 개체들의 결합에 대해 호환가능하다(예를 들어, 친화적이다). 예를 들어, 계면 층은, 유체 샘플들에서 표적 분석물을 결합시키는 것이 가능한 포획 시약의 층인 포획 시약 층을 포함할 수도 있다. 일부 실시예들에서, 계면 층은 복수의 층들을 포함한다. 예를 들어, 계면 층은, 유전체 재료(예를 들어, 하이-k 재료), 전도성 재료, 및/또는 수용체를 홀딩하기 위한 다른 적합한 재료를 포함할 수도 있다. 예시적인 계면 재료들은 하이-k 유전체 필름들, 금속들, 금속 산화물들, 유전체들, 및/또는 다른 적합한 재료들을 포함한다. 추가의 예로서, 예시적인 계면 층 재료들은 HfO2, Ta2O5, Pt, Au, W, Ti, Al, Cu, 그러한 금속들의 산화물들, SiO2, Si3N4, Al2O3, TiO2, TiN, ZrO2, SnO, SnO2; 및/또는 다른 적합한 재료들을 포함한다. 계면 층은, 예를 들어, 물리 기상 성막(PVD)(스퍼터링), 화학 기상 성막(CVD), 플라즈마 강화 화학 기상 성막(PECVD), 대기압 화학 기상 성막(APCVD), 저압 CVD(LPCVD), 고밀도 플라즈마 CVD(HDPCVD), 또는 원자 층 CVD(ALCVD)와 같은 CMOS 프로세스들을 사용하여 형성될 수도 있다. 계면 층의 일 부분을 보호하기 위해 계면 층 위에 포토레지스트 패턴이 형성된다. FET의 채널 영역 위의 부분이 보호된다. 계면 층의 보호되지 않은 부분들이 후속 에치 프로세스에서 제거된다. 에치 프로세스는 플라즈마 에치를 포함하는 임의의 알려진 에치 프로세스를 수반할 수도 있는데, 이는 PID에 취약한 부분이 보호되기 때문이다. 계면 층은 채널 영역을 완전히 커버하고 소스 영역과 드레인 영역을 부분적으로 커버할 수도 있다. 소스 및 드레인 영역의 부분적 커버리지는 계면 층에 대한 FET 설계 및 면적 요건들에 기초하여 조정될 수도 있다. 일부 실시예들에서, 계면 층은 패터닝 및 에칭되지 않을 수도 있고 FET의 각각의 표면들 위에 남아있다.
도 8의 예에 도시된 바와 같이, 계면 층(224)(예를 들어, 하이-k 재료 층)이 트렌치(222)의 노출된 표면 및 FET(210)의 활성 영역의 노출된 표면 상에 형성된다. 부가적으로, 계면 층(224)은 매립 산화물 층(206)의 전체 표면 위에 성막된다.
대안적으로 단계 318에서, 계면 층이 성막되는 한편 일부 본딩 사이트들이 노출된다. 본딩 사이트들은 미세 유체 채널 캡 구조체를 후방 면(B)에 본딩하는 데 사용되는데, 이는 단계 322에서 아래에 상세히 설명될 것이다. 본딩 사이트들이 요구되는지 여부는 특정 본딩 요건들에 좌우된다는 것에 주목해야 한다. 단계 316과 유사하게, 계면 층은, 예를 들어, PVD(스퍼터링), CVD, PECVD, APCVD, LPCVD, HDPCVD, 또는 ALCVD와 같은 CMOS 프로세스들을 사용하여 형성될 수도 있다. 계면 층의 일 부분을 보호하기 위해 계면 층 위에 포토레지스트 패턴이 형성되고, 본딩 사이트들이 보호되지 않는다. 계면 층의 보호되지 않은 부분들이 후속 에치 프로세스에서 제거된다. 에치 프로세스는 플라즈마 에치를 포함하는 임의의 알려진 에치 프로세스를 수반할 수도 있는데, 이는 PID에 취약한 부분이 보호되기 때문이다. 에칭 후에, 포토레지스트는 PID가 없는 포토레지스트 제거 프로세스에서 제거된다.
도 9의 예에 도시된 바와 같이, 계면 층(224)(예를 들어, 하이-k 재료 층)이 트렌치(222)의 노출된 표면 및 FET(210)의 활성 영역의 노출된 표면 상에 형성되는 한편, 2개의 본딩 사이트들(226)이 노출된다. 다시 말해, 매립 산화물 층(206)은, 2개의 본딩 사이트들(226)을 제외하고는, 계면 층(224)에 의해 커버된다. 본딩 사이트들의 형상은 미세 유체 채널 캡 구조체의 형상에 따라 달라질 수도 있다는 것에 주목해야 한다.
그 후에, 방법(300)은 미세 유체 채널 캡 구조체가 제조되는 단계 320으로 진행한다. 도 3c는 일부 실시예들에 따른 방법(300)의 단계 320을 예시하는 플로차트 다이어그램이다. 단계 320은 CMOS 호환가능 프로세스 플로이다. 단계 352에서, 캡 구조체 기판이 제공된다. 캡 구조체 기판은 실리콘 기판일 수도 있지만, 다른 적합한 재료들이 채용될 수도 있다. 도 10의 예에 도시된 바와 같이, 실리콘 기판(230)이 제공된다.
단계 354에서, 캡 구조체 기판은 전역 공동 영역(global cavity region)을 미리 규정하도록 패터닝 및 에칭된다. 전역 공동 영역은 미세 유체 채널에 대응한다. 캡 구조체 기판 상에 포토레지스트 패턴이 형성된다. 포토레지스트 패턴은 전역 공동 영역을 미리 규정하도록 후속 에치로부터 캡 구조체 기판의 일부를 보호한다. 캡 구조체 기판을 패터닝한 후에, 전역 공동 영역은 캡 구조체 기판을 에칭함으로써 미리 규정된다. 에칭 프로세스는, 플라즈마 및 비-플라즈마 에치를 포함하여 건식 에치 또는 HF/질산/아세트산(HNA) 또는 테트라메틸암모늄 수산화물(TMAH)과 같은 습식 에치일 수도 있다. 그 후, 포토레지스트가 제거된다. 도 11의 예에 도시된 바와 같이, 전역 공동 영역(232)은 캡 구조체 기판(230)의 상부 표면에 미리 규정된다.
단계 356에서, 하드 마스크가 캡 구조체 기판의 본딩 구역들 상에 성막된다. 일부 실시예들에서, 캡 구조체 기판의 본딩 구역들은, 단계 318에서의 매립 산화물 층 상의 본딩 사이트들에 대응한다. 구체적으로는, 캡 구조체 기판의 본딩 구역들은 매립 산화물 층 상의 본딩 사이트들과 접하고, 미세 유체 채널 캡 구조체는 매립 산화물 층(또는 매립 산화물 층 상에 성막 및 패터닝되는 임의의 적절한 중간 본딩 층)에 본딩되는데, 이는 단계 322에서 아래에 상세히 설명될 것이다. 하드 마스크는 후속 에칭 프로세스들로부터 본딩 구역들을 보호할 수 있다. 일부 실시예들에서, 하드 마스크는 산화물로 형성될 수도 있다. 일부 실시예들에서, 하드 마스크는 폴리실리콘으로 형성될 수도 있다. 하드 마스크는 CVD 및/또는 이와 유사한 것과 같은 적합한 프로세스들을 사용하여 형성된다. 비제한적인 예에서, 하드 마스크의 두께는 0.3㎛ 내지 1㎛의 범위에 있다. 도 12의 예에 도시된 바와 같이, 하드 마스크(236)(예를 들어, 산화물 하드 마스크)는 캡 구조체 기판(230)의 본딩 구역들(234) 상에 성막된다. 하드 마스크(236)는 후속 에칭 프로세스들로부터 본딩 구역들(234)을 보호할 수도 있다.
단계 358에서, 전역 공동 영역의 특정 영역들이 패터닝되어 유입구/유출구 채널들을 형성한다. 포토레지스트 패턴은 하드 마스크 전역 공동 영역 상에 형성된다. 포토레지스트 패턴은 유입구/유출구 채널들을 형성하기 위해 후속 에치로부터 하드 마스크 및 전역 공동 영역의 일부를 보호한다. 이에 후속하여, 캡 구조체 기판이 에칭되어 유입구/유출구 채널들을 형성한다. 에칭 프로세스는, 플라즈마 및 비-플라즈마 에치를 포함하여 건식 에치 또는 HF/질산/아세트산(HNA) 또는 테트라메틸암모늄 수산화물(TMAH)과 같은 습식 에치일 수도 있다. 그 후, 포토레지스트가 제거된다. 도 13의 예에 도시된 바와 같이, 포토레지스트 패턴(238)은 하드 마스크(236) 및 전역 공동 영역(232)의 일부 상에 있다. 전역 공동 영역(232)의 노출된 부분이 에칭되어 유입구/유출구 채널들(240)을 형성한다. 그 후에, 포토레지스트 패턴(238)이 제거되고, 구조체는 도 14의 예에 도시된 바와 같다. 유입구/유출구 채널들(240)을 포함하여 전체 전역 공동 영역(232)이 노출되는 한편, 본딩 구역들(234)은 하드 마스크(236)에 의해 커버된다.
단계 360에서, 전체 전역 공동 영역이 블랭킷 에칭된다. 구체적으로는, 유입구/유출구 채널들을 포함하여 전체 전역 공동 영역은 특정 깊이만큼 균일하게 에칭 백되어, 미세 유체 채널 캡 구조체의 챔버를 형성한다. 다른 한편으로, 하드 마스크에 의해 커버되는 본딩 구역들이 블랭킷 에치 동안 보호된다. 블랭킷 에칭 프로세스는, 플라즈마 및 비-플라즈마 에치를 포함하여 건식 에치 또는 습식 에치와 같은 임의의 적합한 에칭 프로세스들일 수도 있다. 도 15의 예에 도시된 바와 같이, 유입구/유출구 채널들(240)을 포함하여 캡 구조체 기판(230)의 전체 전역 공동 영역(232)은 미리 규정된 에치 깊이 ED만큼 에칭된다. 미리 규정된 에치 깊이 ED는 미세 유체 채널 캡 구조체(228)의 챔버(244)의 원하는 높이에 대응한다.
임의로 단계 362에서, 하이-k 산화물 재료 층이 전역 공동 영역 및 하드 마스크 상에 성막된다. 단계 362는 적용예들에 따라 임의적이다. 하이-k 산화물 재료 층은, 예를 들어, PVD(스퍼터링), CVD, PECVD, APCVD, LPCVD, HDPCVD, 또는 ALCVD와 같은 CMOS 프로세스들을 사용하여 형성될 수도 있다. 일 비제한적인 예에서, 하이-k 산화물 재료 층은 2nm 내지 3nm의 두께를 갖는다. 도 16의 예에 도시된 바와 같이, 하이-k 산화물 재료 층(242)은 전역 공동 영역(232)(따라서 챔버(244)) 및 하드 마스크(236) 상에 성막된다. 하이-k 산화물 재료 층(242)은 챔버(244)의 저부 및 측벽들, 유입구/유출구 채널들의 저부 및 측벽들, 및 하드 마스크(236)를 커버한다.
임의로 단계 364에서, 하드 마스크의 상부 상의 계면 층이 제거된다. 일 실시예에서, 포토레지스트 스프레이 코터(photoresist spray coater)가 전체 전역 공동 영역을 커버하기 위해 스프레이 코팅 프로세스에 의해 스프레이될 수도 있다. 포토레지스트 스프레이 코터는 하드 마스크의 하이-k 산화물 재료 층이 제거될 때 하이-k 산화물 재료 층을 보호한다. 하드 마스크의 상부 상의 계면 층은 플라즈마 에칭과 같은 적합한 프로세스들에 의해 제거된다. 예시적인 플라즈마 에칭 프로세스에서, 산소, 불소 함유 재료 및 불활성 가스를 포함하는 가스들의 혼합물이 제공되고, 가스들의 혼합물의 고속 스트림의 글로우 방전(플라즈마)이 하이-k 산화물 재료 층에 (펄스 단위로) 슈팅된다. 스프레이 코팅 프로세스는 깊은 피처들을 갖는 영역 위에 포토레지스트를 코팅하는 데 사용된다. 스프레이 코팅 프로세스에서, 포토레지스트의 미세한 액적들이 구조체 위로 성막된다. 포토레지스트 액적들이 스프레이되는 각도는 포토레지스트가 깊은 트렌치들과 측벽들로 들어가게 하도록 한다.
단계 366에서, 하드 마스크가 제거된다. 하드 마스크는 임의의 적합한 프로세스들에 의해 제거된다. 일 실시예에서, 하드 마스크는 습식 에치에 의해 제거된다. 일부 실시예들에서, 습식 에치는 희석된 불화수소산(HF)과 같은 불소 함유 에치이다. 일부 실시예들에서, 습식 에치는 암모니아 수산화물/수소 과산화물 에치이다. 습식 에치는 하이-k 산화물 재료 층을 실질적으로 제거 또는 손상시키는 일 없이 하드 마스크를 제거한다. 도 17의 예에 도시된 바와 같이, 하드 마스크(236) 상의 임의적인 하이-k 산화물 재료 층(242)과 하드 마스크(236) 양측 모두가 제거된다. 본딩 구역들(234)이 노출된다. 전역 공동 영역(232) 및 유입구/유출구 채널들(240)의 저부 및 측벽들은 하이-k 산화물 재료 층(242)으로 커버된다. 이와 같이, 미세 유체 채널 캡 구조체(228)가 제조된다.
다시 도 3a를 참조하면, 방법(300)은 미세 유체 채널 캡 구조체가 바이오센서 시스템 패키지의 후면에 본딩되는 단계 322로 진행한다. 구체적으로는, 미세 유체 채널 캡 구조체는 매립 산화물 층에 본딩된다. 일부 실시예들에서, 매립 산화물 층의 본딩 사이트들은 캡 구조체 기판의 본딩 구역들과 접한다. 다른 실시예들에서, 매립 산화물 층 상에 성막 및 패터닝되는 중간 본딩 층이 캡 구조체 기판의 본딩 구역들과 접한다. 미세 유체 채널 캡 구조체는 융합 본드, 공융 본드, 양극 본드(anodic bond), 및/또는 다른 적합한 본딩 방법들을 사용하여 바이오센서 시스템 패키지의 후면에 본딩될 수도 있다. 융합 본딩은 온도 및 압력을 이용하여 반도체 재료들을 접합한다. 일 비제한적인 예에서, 실온 융합 본딩 프로세스에서, 본더 디바이스(bonder device)가 미세 유체 채널 캡 구조체와 바이오센서 시스템 패키지의 후면을 함께 강제한다. 이 다음에 본드 강도를 증가시키기 위해 어닐링 프로세스가 뒤이어진다. 공융 본드에서는, 공융 시스템을 생성할 수 있는 중간 금속 층이 이용된다. 공융 금속들은, 2-상 평형(two-phase equilibrium)을 통과하는 일 없이 특정 조성물과 온도에서, 고체 상태로부터 액체 상태로, 또는 그 반대로 액체 상태로부터 고체 상태로 직접 변형되는 합금들이다. 공융 온도가 2개 이상의 순수 원소들의 용융 온도보다 훨씬 더 낮을 수 있기 때문에, 공융 본드는 낮은 프로세싱 온도들, 최종 조립에서 유도되는 낮은 결과 응력, 높은 본딩 강도, 큰 제조 수율 및 양호한 신뢰성의 이익들을 가질 수도 있다. 양극 본드에서, 유리들은 중간 층을 도입하는 일 없이 실리콘 또는 금속으로 밀봉된다. 도 18의 예에 도시된 바와 같이, 미세 유체 채널 캡 구조체(228)는 바이오센서 시스템 패키지(200)의 후면(B)에 본딩된다. 구체적으로는, 미세 유체 채널 캡 구조체(228)는 매립 산화물 층(206)에 본딩된다. 매립 산화물 층(206)의 본딩 사이트들(226)은 캡 구조체 기판(230)의 본딩 구역들(234)과 접한다.
그 후에, 방법(300)은 웨이퍼가 플립되는 단계 324로 진행한다. 도 19의 예에 도시된 바와 같이, 바이오센서 시스템 패키지(200)의 전방 면(F)에 있는 캐리어 기판(220)이 이제 상부 상에 있다. 그 후에, 방법(300)은 캐리어 기판이 박형화되는(thinned) 단계 326으로 진행한다. 일 예에서, 캐리어 기판은 그라인딩에 의해 박형화된다. 그라인딩 프로세스는, 적절한 그라인딩 재료로 라이닝되는 바이오센서 시스템 패키지를 홀딩하는 디스크를 회전시키는 것을 포함할 수도 있다. CMP와 같은 다른 프로세스들이 또한 채용될 수도 있다는 것에 주목해야 한다. 도 20에 도시된 바와 같이, 캐리어 기판(220)이 박형화되었다. 캐리어 기판의 두께는 아래에 논의될 단계 328에 따라 선택된다.
그 후에, 방법(300)은 기판 관통 비아(through-substrate via)(TSV) 구조체가 캐리어 기판을 통해 생성되고 MLI 구조체에 연결되는 단계 328로 진행한다. TSV는 전기적 커넥션들을 제공하기 위해 그리고 바이오센서 시스템 패키지(200)에 대한 열 소산을 위해 사용된다. 도 21의 예에 도시된 바와 같이, TSV 구조체(246)가 캐리어 기판(220)을 통해 생성되고 MLI 구조체(212)에 연결된다. 도 21의 예에서는 단지 하나의 TSV 구조체(246)만이 도시되어 있지만, 캐리어 기판(220)을 통과하도록 하나 초과의 TSV 구조체가 형성될 수도 있다. TSV 구조체(246)는 라이너(liner)(246a), 확산 장벽 층(diffusion barrier layer)(246b), 및 전도성 재료(246c)를 포함한다. 일 실시예에서, TSV 구조체(246)는 다음의 동작들에 의해 형성된다. 먼저, TSV 개구가 하나 이상의 에칭 프로세스들에 의해 MLI 구조체(212)의 전도성 라인(214)으로 연장되어 형성된다. TSV 개구가 형성된 후에, TSV 구조체(246)의 전도성 재료(246c) 및 캐리어 기판(220)이 서로 직접 접촉하지 않도록, 라이너(246a)가 TSV 개구의 측벽들 상에 형성되어 격리 층으로서 작용한다. 그 후, 확산 장벽 층(246b)은 라이너(246a) 상에 그리고 TSV 개구의 저부 상에 등각으로 형성된다. 확산 장벽 층(246b)은 추후에 형성될 전도성 재료(246c)가 원하지 않는 영역들로 이동하는 것을 방지하는 데 사용된다. 확산 장벽 층(246b)이 형성된 후에, 전도성 재료(246c)가 TSV 개구 내를 충전하는 데 사용된다. 그 후, TSV 개구의 외측 상에 있는 과잉 라이너(246a), 확산 장벽 층(246b), 및 전도성 재료(246c)가 화학적 기계적 연마(CMP) 프로세스와 같은 평탄화 프로세스에 의해 제거되지만, 임의의 적합한 제거 프로세스가 사용될 수도 있다.
라이너(246a)는 산화물들 또는 질화물들과 같은 절연 재료로 이루어진다. 라이너(246a)는 PECVD 프로세스 또는 다른 적용가능한 프로세스들을 사용함으로써 형성될 수도 있다. 라이너(246a)는 단일 층 또는 다층들일 수도 있다. 일부 비제한적인 예들에서, 라이너(246a)는 약 100Å 내지 약 5000Å의 범위에 있는 두께를 갖는다. 확산 장벽 층(246b)은 Ta, TaN, Ti, TiN 또는 CoW로 이루어진다. 일부 실시예들에서, 확산 장벽 층(246b)은 PVD 프로세스에 의해 형성된다. 일부 실시예들에서, 확산 장벽 층(246b)은 도금에 의해 형성된다. 일부 실시예들에서, 전도성 재료(246c)는 구리, 구리 합금, 알루미늄, 알루미늄 합금들, 또는 이들의 조합물들로 이루어진다. 대안적으로, 다른 적용가능한 재료들이 사용될 수도 있다. TSV 구조체(246)의 폭, 깊이, 및 종횡비가 상이한 상황들 하에서 선택될 수도 있다. 캐리어 기판(220)이 단계 326에서 박형화되기 때문에, TSV 구조체(246)는 비교적 작은 종횡비를 갖는다. 이와 같이, TSV 구조체의 높은 종횡비로부터 발생되는 압출 또는 확산 문제들 및 보이드 문제들이 해결되거나 또는 크게 감소된다. 부가적으로, 바이오센서 시스템 패키지(200)의 전체 패키지 높이가 감소되어 진보된 패키징 요건들을 충족시킨다. 이와 같이, 바이오센서 시스템 패키지(200)는 소형 폼 팩터를 달성할 수도 있다.
그 후에, 방법(300)은 웨이퍼가 플립되는 단계 330으로 진행한다. 도 22의 예에 도시된 바와 같이, 미세 유체 채널 캡 구조체(228)는 상부 상에 있는 반면, TSV 구조체(246)는 저부에 있다. 그 후에, 방법(300)은 미세 유체 채널 캡 구조체가 도 23의 예에 도시된 바와 같이 유입구/유출구 포트들(240')을 형성하도록 박형화되는 단계 332로 진행한다. 미세 유체 채널 캡 구조체(228)는 그라인딩 및 CMP와 같은 임의의 적합한 프로세스들에 의해 박형화된다. 미세 유체 채널 캡 구조체(228)를 박형화한 후에, 유입구/유출구 채널들(240)이 노출되어 유입구/유출구 포트들(240')을 형성한다. 이와 같이, 챔버(244)는 유입구/유출구 포트들(240')을 통해 외측에 연결될 수 있다.
대안적으로 단계 334에서, 미세 유체 채널 캡 구조체가 먼저 박형화될 수도 있고, 그 후에 특정 구역들이 에칭되어 유입구/유출구 포트들을 형성한다. 그러나, 일부 유입구/유출구 채널들은 에칭 프로세스에서 포토레지스트의 커버로 인해 에칭되지 않아서, 그에 따라 챔버(예를 들어, 도 22에 도시된 바와 같은 챔버(244))의 높이보다 더 높은 제2 챔버 높이를 형성한다. 미세 유체 채널 캡 구조체가 먼저, 그라인딩 및 CMP와 같은 임의의 적합한 프로세스들에 의해 박형화된다. 그러나, 박형화 후에 유입구/유출구 채널들이 노출되지 않도록 박형화의 깊이가 선택된다. 2개의 유입구/유출구 채널들(예를 들어, 도 22에 도시된 바와 같은 유입구/유출구 채널들(240))이 제조된 일 예에서, 특정 구역들(예를 들어, 2개의 유입구/유출구 채널들 중 하나 위의 영역)이 에칭되어 2개의 유입구/유출구 채널들 중 하나를 노출시켜, 따라서 하나의 유입구/유출구 포트를 형성한다. 그러나, 다른 유입구/유출구 채널 위의 영역은 에칭되지 않아서, 그에 따라 제2 챔버 높이를 형성한다. 제2 챔버 높이는 챔버의 높이에 유입구/유출구 채널의 높이를 더한 것과 동일하다. 대안적인 단계 334는, 단계 332에 비해, 더 많은 유연성을 제공하고 2개의 상이한 높이들(즉, 챔버 높이 및 제2 챔버 높이)을 갖는 챔버에 적용될 수 있다.
그 후에, 방법(300)은 웨이퍼가 플립되는 단계 336으로 진행한다. 웨이퍼가 플립된 후에, 캐리어 기판(220) 및 TSV 구조체(246)가 상부 상에 있다. 그 후에 방법(300)은 분리 칩이 TSV 구조체에 본딩되는 단계 338로 진행한다. 분리 칩은, 바이오센서 시스템의 일 부분으로서 기능하는 임의의 칩들일 수도 있다. 일 실시예에서, 분리 칩은 RAM 칩이다. 일 실시예에서, 분리 칩은 데이터 프로세싱 칩이다. 일 실시예에서, 분리 칩은 RAM 및 데이터 프로세싱 칩이다. 분리 칩은 임의의 적합한 프로세스들에 의해 TSV 구조체에 본딩될 수도 있다. 일 실시예에서, 분리 칩은 솔더 범프 본딩에 의해 TSV 구조체에 본딩된다. 솔더 범프들은, 반도체 디바이스들의 콘택트 구역들 또는 패드들에 본딩되는 솔더의 작은 구체들(솔더 볼들)이다. 일 예에서, 솔더 범프 본딩은 다음의 동작들: TSV 구조체들 상에 솔더 범프(들)를 배치시키는 것; 웨이퍼를 플립하는 것; 솔더 범프(들)를 분리 칩의 콘택트 패드(들)와 정렬시키는 것; 및 노(furnace)에서 솔더 범프(들)를 리플로(reflow)하여 TSV 구조체와 분리 칩 사이의 본딩을 확립하는 것을 포함한다. 다른 실시예들에서, 분리 칩은 와이어 본딩에 의해 TSV 구조체에 본딩될 수도 있다. 도 24의 예에 도시된 바와 같이, RAM 및 데이터 프로세싱 칩(250)이 (솔더 범프(248)를 사용하는) 솔더 범프들의 본딩에 의해 TSV 구조체(246)에 본딩되고 웨이퍼가 다시 플립된다. 이와 같이, 바이오센서 시스템 패키지(200)는 방법(300)을 사용하여 제조된다.
도 25a 및 도 25b는 일부 실시예들에 따른, 바이오센서 시스템 패키지를 제조하는 다른 방법(2500)을 예시하는 플로차트 다이어그램들이다. 도 26 내지 도 30은 일부 실시예들에 따른, 도 25a 및 도 25b의 방법의 하나 이상의 단계들에 따라 구성되는 바이오센서 시스템 패키지(3000)를 예시하는 단면 다이어그램이다. 방법(2500)은, 방법(2500)에서 미세 유체 채널 캡 구조체를 본딩하기 전에 TSV 구조체가 제조된다는 것을 제외하고는, 도 3a 및 도 3b의 방법(300)과 동일하다. 그에 따라, 방법(2500)의 일부 단계들은 유사한 단계들이 상술되었기 때문에 단순성을 위해 상세히 설명되지 않는다.
방법(2500)은, 기판이 제공되는, 도 3a의 단계 302와 유사한 단계 2502에서 시작한다. 기판은 반도체 기판(예를 들어, 웨이퍼)일 수도 있다. 일 예에서, 반도체 기판은, 벌크 실리콘 층, 매립 산화물(BOX) 층, 및 반도체 층(즉, 활성 층)을 포함하는 SOI 기판일 수도 있다. 그 후에, 방법(2500)은, 트랜지스터 구조체가 기판 상에 형성되는, 도 3a의 단계 304와 유사한 단계 2504로 진행한다. 트랜지스터 구조체(즉, FET)는, 게이트 구조체, 소스 영역, 드레인 영역, 및 소스 및 드레인 영역들을 개재하는 채널 영역을 포함할 수도 있다. 그 후에, 방법(2500)은, MLI 구조체가 트랜지스터 구조체 위에 형성되는, 도 3a의 단계 306과 유사한 단계 2506으로 진행한다. MLI 구조체는 전도성 라인들, 전도성 비아들, 및/또는 개재 유전체 층들(예를 들어, ILD 층들)을 포함할 수도 있다. MLI 구조체는 트랜지스터(즉, FET)에의 물리적 및 전기적 커넥션을 제공할 수도 있다. 그 후에, 방법(2500)은, 캐리어 기판이 전방 면(F)에 부착되는, 도 3a의 단계 308과 유사한 단계 2508로 진행한다. 다시 말해, 캐리어 기판은 MLI 구조체에 부착된다. 캐리어 기판은 후속 단계들 동안 전방 면(F)을 보호할 수도 있다. 일 실시예에서, 캐리어 기판은 MLI 구조체에 본딩된다. 캐리어 기판은, 융합, 확산, 공융, 및/또는 다른 적합한 본딩 방법들을 사용하여 부착될 수도 있다.
그 후에, 방법(2500)은, 캐리어 기판이 박형화되는, 도 3b의 단계 326과 유사한 단계 2510으로 진행한다. 일 예에서, 캐리어 기판은 그라인딩에 의해 박형화된다. CMP와 같은 다른 프로세스들이 또한 채용될 수도 있다는 것에 주목해야 한다. 캐리어 기판의 두께는 아래에 논의될 단계 2512에 따라 선택된다. 그 후에, 방법(2500)은, TSV 구조체가 캐리어 기판을 통해 생성되고 MLI 구조체에 연결되는, 단계 328과 유사한 단계 2512로 진행한다. TSV는 전기적 커넥션들을 제공하기 위해 그리고 바이오센서 시스템 패키지에 대한 열 소산을 위해 사용된다. 일 실시예에서, TSV 구조체는 다음의 동작들에 의해 형성된다. 먼저, TSV 개구가 하나 이상의 에칭 프로세스들에 의해 MLI 구조체의 전도성 라인으로 연장되어 형성된다. TSV 개구가 형성된 후에, TSV 구조체의 전도성 재료 및 캐리어 기판이 서로 직접 접촉하지 않도록, 라이너가 TSV 개구의 측벽들 상에 형성되어 격리 층으로서 작용한다. 그 후, 확산 장벽 층은 라이너 상에 그리고 TSV 개구의 저부 상에 등각으로 형성된다. 확산 장벽 층은 추후에 형성될 전도성 재료가 원하지 않는 영역들로 이동하는 것을 방지하는 데 사용된다. 확산 장벽 층이 형성된 후에, 전도성 재료가 TSV 개구 내를 충전하는 데 사용된다. 그 후, TSV 개구의 외측 상에 있는 과잉 라이너, 확산 장벽 층, 및 전도성 재료가 CMP 프로세스와 같은 평탄화 프로세스에 의해 제거된다.
도 26의 예에 도시된 바와 같이, TSV 구조체(246)가 전방 면(F)에서 캐리어 기판(220)을 통해 생성되고 MLI 구조체(212)에 연결된다. TSV 구조체(246)는 라이너(246a), 확산 장벽 층(246b), 및 전도성 재료(246c)를 포함한다. 도 26에 도시된 후방 면(B)에서의 SOI 기판(202)은 벌크 실리콘 층(204), 매립 산화물(BOX) 층(206), 및 반도체 층(208)(즉, 활성 층(208))을 포함한다. 트랜지스터 구조체(즉, FET)(210)가 반도체 층(208)에서의 활성 영역 상에 형성된다.
그 후에, 방법(2500)은 웨이퍼가 플립되는 단계 2514로 진행한다. 단계 2514 후에, 벌크 실리콘 층이 상부 상에 있다. 그 후에, 방법(2500)은, 벌크 실리콘 층이 제거되는, 도 3a의 단계 312와 유사한 단계 2516으로 진행한다. 제거는 기계적 또는 화학적 수단에 의해 달성될 수도 있다. 예를 들어, 기계적 수단은 CMP와 같은 연마 또는 그라인딩을 포함한다. 화학적 수단은 습식 에치 또는 건식 에치를 포함한다. 도 27의 예에 도시된 바와 같이, 도 26의 벌크 실리콘 층(204)이 제거된다. 매립 산화물 층(206)이 후방 면(B)의 상부 상에 있다.
그 후에, 방법(2500)은, 매립 산화물 층이 후방 면(B)에 개구를 형성하도록 패터닝되는, 도 3a의 단계 314와 유사한 단계 2518로 진행한다. 매립 산화물 층 상에 포토레지스트 패턴이 형성된다. 일부 실시예들에서, 포토레지스트 패턴은 단계 2504에서 형성된 트랜지스터 구조체의 활성 영역을 노출시키기 위한 후속 비-플라즈마 에치로부터 매립 산화물 층의 일부를 보호한다. 비-플라즈마 에치는, 플라즈마를 수반하지 않는 건식 에치 또는 습식 에치일 수도 있다. 일부 실시예들에서, 후방 면(B)에 개구를 형성하기 위해 2-단계 에치 프로세스가 채용될 수도 있다. 제1 에칭 단계는 플라즈마를 포함하고 제2 에칭 단계는 비-플라즈마 에치이다. 도 28의 예에 도시된 바와 같이, 비-플라즈마 에치는, FET(210)의 채널 영역을 노출시키는 저부를 갖는 트렌치(222)를 형성한다. 비-플라즈마 에치는 채널 영역(219)의 노출된 표면에서 플라즈마 유도 손상(PID)을 회피하는 데 사용된다.
그 후에, 방법(2500)은, 계면 층이 성막되는, 단계 316과 유사한 단계 2520으로 진행한다. 일 실시예에서, 계면 층은 하이-k 재료 층이다. 계면 층은 생체 분자들 또는 생체 개체들의 결합에 대해 호환가능하다(예를 들어, 친화적이다). 예를 들어, 계면 층은 생체 분자들 또는 생체 개체들에 대한 결합 계면을 제공할 수도 있다. 일부 실시예들에서, 계면 층은 복수의 층들을 포함한다. 예를 들어, 계면 층은, 유전체 재료(예를 들어, 하이-k 재료), 전도성 재료, 및/또는 수용체를 홀딩하기 위한 다른 적합한 재료를 포함할 수도 있다. 계면 층은, 예를 들어, PVD(스퍼터링), CVD, PECVD, APCVD, LPCVD, HDPCVD, 또는 ALCVD와 같은 CMOS 프로세스들을 사용하여 형성될 수도 있다. 도 28의 예에 도시된 바와 같이, 계면 층(224)(예를 들어, 하이-k 재료 층)이 트렌치(222)의 노출된 표면 및 FET(210)의 활성 영역의 노출된 표면 상에 형성된다. 부가적으로, 계면 층(224)은 매립 산화물 층(206)의 전체 표면 위에 성막된다.
대안적으로 도 3a의 단계 318과 유사한 단계 2522에서, 계면 층이 성막되는 한편 일부 본딩 사이트들이 노출된다. 본딩 사이트들은 미세 유체 채널 캡 구조체를 후방 면(B)에 본딩하는 데 사용되는데, 이는 단계 2524에서 아래에 상세히 설명될 것이다. 본딩 사이트들이 요구되는지 여부는 특정 본딩 요건들에 좌우된다는 것에 주목해야 한다.
도 28의 예에 도시된 바와 같이, 계면 층(224)(예를 들어, 하이-k 재료 층)이 트렌치(222)의 노출된 표면 및 FET(210)의 활성 영역의 노출된 표면 상에 형성된다. 다시 말해, 매립 산화물 층(206)은 계면 층(224)에 의해 커버된다. 일부 실시예들에서 본딩 사이트들이 채용될 수도 있다는 것에 주목해야 한다.
그 후에, 방법(2500)은 도 3a의 단계 320과 유사한 단계 2524로 진행한다. 일 실시예에서, 단계 2524는 도 3c의 단계들 352 내지 366을 포함한다. 단계 2524는 CMOS 프로세스들과 호환가능하다. 그 후에, 방법(2500)은, 미세 유체 채널 캡 구조체가 바이오센서 시스템 패키지의 후면에 본딩되는, 도 3a의 단계 322와 유사한 단계 2526으로 진행한다. 구체적으로는, 미세 유체 채널 캡 구조체는 매립 산화물 층에 본딩된다. 다른 실시예들에서, 매립 산화물 층 상에 성막 및 패터닝되는 중간 본딩 층이 캡 구조체 기판의 본딩 구역들과 접한다. 미세 유체 채널 캡 구조체는 융합 본드, 공융 본드, 양극 본드, 및/또는 다른 적합한 본딩 방법들을 사용하여 바이오센서 시스템 패키지의 후면에 본딩될 수도 있다.
그 후에, 방법(2500)은, 미세 유체 채널 캡 구조체가 박형화되어 유입구/유출구 포트들을 형성하는, 단계 332와 유사한 단계 2528로 진행한다. 미세 유체 채널 캡 구조체가 그라인딩 및 CMP와 같은 임의의 적합한 프로세스들에 의해 박형화된다. 미세 유체 채널 캡 구조체를 박형화한 후에, 유입구/유출구 채널들이 노출되어 유입구/유출구 포트들을 형성한다.
대안적으로 단계 334와 유사한 단계 2530에서, 여기서 미세 유체 채널 캡 구조체가 먼저 박형화될 수도 있고, 그 후에 특정 구역들이 에칭되어 유입구/유출구 포트들을 형성한다. 그러나, 일부 유입구/유출구 채널들은 에칭 프로세스에서 포토레지스트의 커버로 인해 에칭되지 않아서, 그에 따라 챔버(예를 들어, 도 29에 도시된 바와 같은 챔버(244))의 높이보다 더 높은 제2 챔버 높이를 형성한다. 미세 유체 채널 캡 구조체가 먼저, 그라인딩 및 CMP와 같은 임의의 적합한 프로세스들에 의해 박형화된다. 그러나, 박형화 후에 유입구/유출구 채널들이 노출되지 않도록 박형화의 깊이가 선택된다. 2개의 유입구/유출구 채널들이 제조된 일 예에서, 특정 구역들(예를 들어, 2개의 유입구/유출구 채널들 중 하나 위의 영역)이 에칭되어 2개의 유입구/유출구 채널들 중 하나를 노출시켜, 따라서 하나의 유입구/유출구 포트를 형성한다. 그러나, 다른 유입구/유출구 채널 위의 영역은 에칭되지 않아서, 그에 따라 제2 챔버 높이를 형성한다. 제2 챔버 높이는 챔버의 높이에 유입구/유출구 채널의 높이를 더한 것과 동일하다. 다시, 단계 334와 유사한 대안적인 단계 2530은, 단계 2528에 비해, 더 많은 유연성을 제공하고 2개의 상이한 높이들(즉, 챔버 높이 및 제2 챔버 높이)을 갖는 챔버에 적용될 수 있다. 이와 같이, 챔버가 유입구/유출구 포트를 통해 외측에 연결될 수 있다. 도 29의 예에 도시된 바와 같이, 미세 유체 채널 캡 구조체(228)가 후방 면(B)에 본딩된다. 캡 구조체 기판(230) 내에 2개의 상이한 높이들을 갖는 챔버(244)가 유입구/유출구 포트(240')를 통해 외측에 연결된다.
그 후에, 방법(2500)은 웨이퍼가 플립되는 단계 2532로 진행한다. 웨이퍼가 플립된 후에, 캐리어 기판 및 TSV 구조체가 상부 상에 있다. 그 후에 방법(2500)은 분리 칩이 TSV 구조체에 본딩되는 단계 2534로 진행한다. 분리 칩은, 바이오센서 시스템의 일 부분으로서 기능하는 임의의 칩들일 수도 있다. 일 실시예에서, 분리 칩은 RAM 및 데이터 프로세싱 칩이다. 분리 칩은 임의의 적합한 프로세스들에 의해 TSV 구조체에 본딩될 수도 있다. 일 실시예에서, 분리 칩은 솔더 범프 본딩에 의해 TSV 구조체에 본딩된다. 도 30의 예에 도시된 바와 같이, RAM 및 데이터 프로세싱 칩(250)이 (솔더 범프(248)를 사용하는) 솔더 범프들의 본딩에 의해 TSV 구조체(246)에 본딩되고 웨이퍼가 그 후 다시 플립된다. 이와 같이, 바이오센서 시스템 패키지(3000)는 방법(2500)을 사용하여 제조된다.
도 31은 일부 실시예들에 따른 바이오센서 시스템 패키지(3100)를 예시하는 단면 다이어그램이다. 도 32a 및 도 32b는 일부 실시예들에 따른, 도 31의 바이오센서 시스템 패키지를 제조하는 방법(3200)을 예시하는 플로차트 다이어그램들이다. 도 32c는 일부 실시예들에 따른, 도 32a 및 도 32b의 방법(3200)의 미세 유체 채널 캡 구조체를 제조하는 단계를 예시하는 플로차트 다이어그램이다. 도 33 내지 도 47은 일부 실시예들에 따른, 도 32a 및 도 32b의 방법의 하나 이상의 단계들에 따라 구성되는 바이오센서 시스템 패키지를 예시하는 단면 다이어그램이다. 도 31 및 도 33 내지 도 47은 개략적이고 일정한 비율로 그려지지 않는다는 것에 주목해야 한다. 도 2의 바이오센서 시스템 패키지(200)와는 달리, 분리 칩이 후방 면(B)에 본딩되고 비아 구조체(246) 내지 미세 유체 채널 캡 구조체(228)를 통해 MLI 구조체(212)에 연결된다. 많은 구조체들 및 단계들이 상술된 것들과 유사하기 때문에, 차이들이 아래에 강조될 것이다.
도 31에 도시된 바와 같이, 바이오센서 시스템 패키지(3100)는 전방 면(F) 및 후방 면(B)을 갖는다. 도 31에 도시된 예에서, 바이오센서 시스템 패키지(3100)는, 다른 것들 중에서도, 매립 산화물(BOX) 층(206), 및 반도체 층(208), 트랜지스터 구조체(즉, FET)(210), MLI 구조체(212), 캐리어 기판(220), 트렌치(222), 3개의 본딩 트렌치들(223), 계면 층(예를 들어, 하이-k 재료 층)(224), 미세 유체 채널 캡 구조체(228), 재배선 층(redistribution layer)(RDL)(268), 솔더 범프(248), 및 분리 칩(예를 들어, RAM 및 데이터 프로세싱 칩)(250)을 포함한다. 미세 유체 채널 캡 구조체(228)는 후방 면(B)에 부착된다. 미세 유체 채널 캡 구조체(228)는, 다른 것들 중에서도, 캡 구조체(230), 챔버(244), 유입구/유출구 포트들(240'), TSV 구조체(246), 필러 구조체(pillar structure)(254), 2개의 본드 링들(256), 산화물 층(258), 및 상부 산화물 층(259)을 포함한다. 챔버(244)는 테스트될 유체 샘플들을 홀딩할 수 있다. 유입구/유출구 포트들(240')은 튜브(들)(252)를 통해 펌프들 및/또는 리저버들에 연결될 수 있다. 분리 칩(250)은, TSV 구조체(246) 및 필러 구조체(254)를 통해, MLI 구조체(212)의 제1 금속 층에서의 전도성 라인들(예를 들어, 제1 금속 층 "M1"에서의 전도성 라인들)(214) 중 적어도 하나에 연결된다. 미세 유체 채널 캡 구조체(228)는 필러 구조체(254) 및 본드 링들(256)을 통해 MLI 구조체(212)의 전도성 라인들(214)에 본딩된다. 바이오센서 시스템 패키지(3100)의 컴포넌트들의 세부사항들이 도 32a 내지 도 32c 및 도 33 내지 도 47을 참조하여 아래에 설명될 것이다.
도 32a 및 도 32b에 도시된 바와 같이, 방법(3200)은 도 31에 도시된 바와 같은 바이오센서 시스템 패키지(3100)를 제조하는 데 사용된다. 방법의 부가적인 실시예들을 위해, 방법(3200) 이전에, 그 동안에, 그리고 그 이후에 부가적인 단계들이 제공될 수 있고, 아래에 설명되는 단계들 중 일부가 대체 또는 제거될 수 있다는 것에 주목해야 한다. 추가로, 방법(3200)은 전형적인 CMOS 기술 프로세스 플로의 피처들을 갖는 단계들을 포함하고, 따라서 본 명세서에서는 단지 간략하게 설명된다는 것에 주목해야 한다.
방법(3200)은 기판이 제공되는 단계 3202에서 시작한다. 기판은 벌크 실리콘 층, 매립 산화물 층(예를 들어, 도 31에 도시된 매립 산화물 층(206)), 및 반도체 층(예를 들어, 도 31에 도시된 반도체 층(208))을 포함한다. 단계 3204에서, 트랜지스터 구조체(예를 들어, 도 31에 도시된 FET(210))가 기판 상에 형성된다. 단계 3206에서, MLI 구조체(예를 들어, 도 31에 도시된 MLI 구조체(212))가 트랜지스터 구조체 위에 형성된다. 단계 3208에서, 캐리어 기판(예를 들어, 도 31에 도시된 캐리어 기판(220))이 바이오센서 시스템 패키지의 전방 면(F)에 부착된다. 단계 3210에서, 웨이퍼가 플립된다. 단계 3212에서, 기판의 벌크 실리콘 층이 제거된다. 단계 3214에서, 기판의 매립 산화물 층은 바이오센서 시스템 패키지의 후면(B)에 개구(예를 들어, 도 31에 도시된 트렌치(222))를 형성하도록 패터닝된다. 단계 3216에서, 계면 층(예를 들어, 일 예에서 하이-k 재료 층인, 도 31에 도시된 계면 층(224))이 성막된다.
그 후에, 방법(3200)은 단계 3218로 진행한다. 단계 3218에서, 계면 층, 매립 산화물 층, 반도체 층, 및 MLI 구조체의 상부 개재 유전체 층(예를 들어, 도 31에 도시된 상부 개재 유전체 층(218))이 패터닝 및 에칭되어, MLI 구조체의 제1 금속 층에서의 전도성 라인들을 노출시키고 본드 링들(예를 들어, 도 31에 도시된 본드 링들(256)) 및 필러 구조체(예를 들어, 도 31에 도시된 필러 구조체(254))를 수용하기 위한 본딩 트렌치들(예를 들어, 도 31에 도시된 본딩 트렌치들(223))을 형성한다.
그 후에, 방법(3200)은 미세 유체 채널 캡 구조체가 제조되는 단계 3220으로 진행한다. 일 실시예에서, 단계 3220은, 도 32c에 도시된 단계들 3252 내지 3272를 포함한다. 단계 3252에서, 캡 구조체 기판이 제공된다. 단계 3254에서, 산화물 층이 캡 구조체 기판 상에 성막되고, 질화물 층이 산화물 층 상에 성막된다. 도 33의 예에서, 산화물 층(258)이 캡 구조체 기판(230) 상에 성막되고, 질화물 층(260)이 산화물 층(258) 상에 성막된다. 일 실시예에서, 캡 구조체 기판(230)은, 고도로 도핑되고 전도성이 있는 실리콘 기판이다. 일 실시예에서, 산화물 층(258)의 두께는 100nm 내지 300nm의 범위에 있고, 질화물 층(260)의 두께는 100nm 내지 300nm의 범위에 있다.
단계 3256에서, 산화물 층 및 질화물 층이 패터닝 및 에칭되어 본딩 링들, 필러 구조체, 및 챔버에 대응하는 구역들을 규정한다. 도 34의 예에서, 산화물 층(258) 및 질화물 층(260)이 패터닝 및 에칭된다. 산화물 층(258) 및 질화물 층(260)이 남아있는 규정된 구역들은 필러 구조체, 본딩 링들, 및 챔버에 각각 대응한다.
단계 3258에서, 격리 트렌치들이 필러 구조체에 대응하는 구역 주위에서 에칭된다. 도 35에 도시된 예에서, 2개의 격리 트렌치들(262)이 건식 에치 또는 습식 에치에 의해 필러 구조체에 대응하는 구역 주위에 형성된다. 단계 3260에서, 산화물이 격리 트렌치들에서 열적으로 성장된다. 열적 산화물은 질화물 층에 의해 커버된 영역들에서 성장하지 않는다. 일 실시예에서, 열적 산화물의 두께는 질화물에 의해 커버된 산화물 층의 두께보다 한 자릿수 더 두껍다. 더욱이, 열적 산화물은 캡 구조체 기판의 모든 면들 상에서 성장한다. 도 36에 도시된 예에서, (열적) 산화물 층(258)이 격리 트렌치들(262)에서 성장된다.
단계 3262에서, 규정된 구역들에서의 질화물 층 및 산화물 층이 에칭 백된다. 도 37에 도시된 예에서, 캡 구조체 기판(230)은 규정된 구역들에서 노출된다. 단계 3264에서, 폴리실리콘 층이 블랭킷 성막된다. 필러 구조체 및 본드 링들에 대응하는 규정된 구역들에서의 폴리실리콘 층이 추후에 필러 구조체 및 본드 링들의 일 부분으로서 각각 기능한다. 도 38에 도시된 예에서, 폴리실리콘 층(264)은 규정된 구역들과 규정되지 않은 구역들 양측 모두 위에 블랭킷 성막된다. 일 실시예에서, 폴리실리콘 층(264)의 두께는 3㎛ 내지 5㎛의 범위에 있다.
단계 3266에서, 폴리실리콘 층의 천연 산화물이 제거되고 중간 층이 블랭킷 성막된다. 천연 산화물 층은 실리콘 함유 표면이 주변 조건들 또는 산소에 노출될 때 형성될 수도 있다. 폴리실리콘의 천연 산화물의 존재는 폴리실리콘과 중간 층 사이의 저항을 증가시킬 수 있는데, 이는 바람직하지 않다. 일 실시예에서, 폴리실리콘 층의 천연 산화물은 천연 산화물을 희석된 불화수소산(HF)으로 처리하는 것과 같은 습식 프로세스들에 의해 제거된다. 중간 층은 공융 본딩에 적합한 임의의 재료로 이루어진다. 일 실시예에서, 중간 층은 게르마늄(Ge)으로 이루어진다. 도 39의 예에서, 중간 층(266)은 천연 산화물을 제거한 후에 폴리실리콘 층(264) 상에 블랭킷 성막된다.
단계 3268에서, 본드 링들 및 필러 구조체에 대응하는 구역들이 패터닝되고, 다른 패터닝되지 않은 구역들에서의 중간 층 및 폴리실리콘 층이 제거된다. 도 40의 예에서, 필러 구조체(254) 및 본드 링들(256)은 다른 패터닝되지 않은 구역들에서의 중간 층(266) 및 폴리실리콘 층(264)을 제거한 후에 돌출되어 있다. 필러 구조체(254)와 본드 링들(256) 양측 모두는 폴리실리콘 층(264)의 일 부분 및 폴리실리콘 층(264)의 부분의 상부 상의 중간 층(266)의 일 부분을 포함한다. 임의로, 캡 구조체 기판(230)은 본드 링들(256) 주위의 구역에서 그리고 챔버에 대응하는 구역에서 에칭된다.
단계 3270에서, 전역 공동 영역이 패터닝 및 에칭되어 챔버를 형성한다. 도 41의 예에서, 전역 공동 영역(232)이 패터닝되고 캡 구조체 기판(230)이 전역 공동 영역(232)에서 에칭되어 챔버(244)를 형성한다. 챔버(244)의 챔버 깊이(CD)는 필러 구조체(254)의 필러 구조체 깊이(PD)보다 더 작다.
임의로 단계 3272에서, 하이-k 산화물 재료 층이 챔버의 저부 표면 및 측벽들 상에 성막된다. 단계 3272는 적용예들에 따라 임의적이다. 일 실시예에서, 단계 3272는 하이-k 재료 층을 블랭킷 성막하고 하이-k 재료 층을 에칭하는 동안 포토레지스트 스프레이 코터를 사용하여 챔버 구역을 블로킹(blocking)함으로써 수행될 수도 있다. 일 실시예에서, 하이-k 산화물 재료의 두께는 2nm 내지 3nm의 범위에 있다. 도 42의 예에서, 하이-k 산화물 재료(242)는 챔버(244)의 저부 표면 및 측벽들을 커버한다. 이와 같이, 미세 유체 채널 캡 구조체(228)가 제조된다.
다시 도 32a 및 도 32b를 참조하면, 단계 3222에서, 미세 유체 채널 캡 구조체가 바이오센서 시스템 패키지의 후면에 본딩된다. 일 실시예에서, 본딩은 공융 본딩을 사용하는 것이고, 본드 링들 및 필러 구조체의 상부에서의 중간 층들(266)이 공융 시스템을 생성하는 데 사용된다. 도 43의 예에서, 미세 유체 채널 캡 구조체(228)가 공융 본딩을 사용하여 바이오센서 시스템 패키지의 후방 면(B)에 본딩된다. 구체적으로는, 필러 구조체(254) 및 본드 링들(256)은 본딩 트렌치들(223)에 수용되고 MLI 구조체(212)의 제1 금속 층(M1)에서의 전도성 라인(214)에 본딩된다. 도 43은 일정한 비율로 그려지지 않는다는 것에 주목해야 한다. 비제한적인 예에서, 본딩 트렌치의 깊이는 2㎛이고; 필러 구조체(254) 및 본드 링들(256)의 높이들은 양측 모두가 4㎛이고; 캐리어 기판(220)의 두께는 300㎛ 내지 750㎛의 범위에 있고; MLI 구조체(212)의 두께는 10㎛이고; 캡 구조체 기판의 두께는 500㎛ 내지 750㎛의 범위에 있다.
단계 3224에서, 미세 유체 채널 캡 구조체의 상부 부분이 박형화된다. 미세 유체 채널 캡 구조체가 그라인딩 및 CMP와 같은 임의의 적합한 프로세스들에 의해 박형화될 수도 있다. 도 44의 예에서, 미세 유체 채널 캡 구조체(228)를 박형화한 후에, TSV 구조체가 후방 면(B)의 상부에 노출된다.
단계 3226에서, 상부 산화물 층이 미세 유체 채널 캡 구조체의 상부 부분 상에 패터닝 및 성막되어 필러 구조체에 대한 콘택트 개구를 생성한다. 도 45의 예에서, 상부 산화물 층(259)은 콘택트 개구(270)를 제외하고는 캡 구조체 기판(230)의 전체 상부 표면 위에 성막된다. 이와 같이, TSV 구조체(246)는 캡 구조체 기판(230)의 다른 부분들로부터 전기적으로 절연된다.
단계 3228에서, 재배선 층(RDL)이 콘택트 개구 위에 패터닝 및 성막된다. 일반적으로, 재배선 층들은 비아 구조체들의 패턴과는 상이한 완성된 패키지에 대한 핀-아웃 콘택트 패턴을 가능하게 하는 전도성 패턴을 제공하여, 비아들 및 다이들의 배치에 있어서의 더 큰 유연성을 가능하게 한다. 재배선 층들은 전도성 라인들 및 비아 커넥션들을 포함하고, 여기서 비아 커넥션들은 위에 놓인 라인을 아래에 놓인 전도성 피처에 연결한다. 도 46의 예에서, 재배선 층(268)이 패터닝되고 콘택트 개구(270) 위에 성막된다. 재배선 층(268)은 TSV 구조체(246)에서의 고도로 도핑된 캡 구조체 기판(230)에 전기적으로 연결된다.
단계 3230에서, 상부 산화물 층 및 캡 구조체 기판이 패터닝 및 에칭되어 유입구/유출구 포트들을 형성한다. 상부 산화물 층(259) 및 캡 구조체 기판(230)의 특정 구역들이 에칭되어 유입구/유출구 포트(들)를 형성한다. 이와 같이, 유입구/유출구 포트들이 형성된다. 도 47의 예에서, 유입구/유출구 포트(240')는 챔버(244) 내의 특정 구역에서 상부 산화물 층(259) 및 캡 구조체 기판(230)을 에칭함으로써 형성된다.
단계 3232에서, 분리 칩이 재배선 층에 본딩된다. 분리 칩은, 바이오센서 시스템의 일 부분으로서 기능하는 임의의 칩들일 수도 있다. 분리 칩은 임의의 적합한 프로세스들에 의해 재배선 층에 본딩될 수도 있다. 도 31의 예에 도시된 바와 같이, RAM 및 데이터 프로세싱 칩(250)이 (솔더 범프(248)를 사용하는) 솔더 범프들의 본딩에 의해 재배선 층(268)에 본딩된다. 따라서, RAM 및 데이터 프로세싱 칩(250)은 다음의 전기적 경로: 재배선 층(268), TSV 구조체(246)의 캡 구조체 기판(230), 필러 구조체(254), 및 제1 금속 층에서의 전도성 라인(214)에 의해 MLI 구조체에 연결된다. 이와 같이, 바이오센서 시스템 패키지(3100)는 방법(3200)을 사용하여 제조된다.
도 48은 일부 실시예들에 따른 바이오센서 시스템 패키지(4800)를 예시하는 단면 다이어그램이다. 바이오센서 시스템 패키지(4800)는 도 2의 바이오센서 시스템 패키지(200)와 도 31의 바이오센서 시스템 패키지(3100)의 조합이다. 구체적으로는, 바이오센서 시스템 패키지(4800)는 (캐리어 기판을 통한 제1 TSV 구조체를 사용하여) 전방 면에서의 하나의 분리 칩에 그리고 (캡 구조체 기판을 통한 제2 TSV 구조체를 사용하여) 후방 면에서의 다른 분리 칩에 연결된다. 다시 말해, "3-칩-플러스-미세 유체(three-chips-plus-microfluidic)" 구조체가 생성된다. 바이오센서 시스템 패키지(4800)의 제조 방법은 도 3a 및 도 3b의 방법(300)과 도 32a 및 도 32b의 방법(3200)의 조합이고, 그에 따라 단순성을 위해 상세히 설명되지 않는다.
도 48에 도시된 바와 같이, 바이오센서 시스템 패키지(4800)는 전방 면(F) 및 후방 면(B)을 갖는다. 도 48에 도시된 예에서, 바이오센서 시스템 패키지(4800)는, 다른 것들 중에서도, 매립 산화물(BOX) 층(206), 및 반도체 층(208), 트랜지스터 구조체(즉, FET)(210), MLI 구조체(212), 캐리어 기판(220), 트렌치(222), 3개의 본딩 트렌치들(223), 계면 층(예를 들어, 하이-k 재료 층)(224), 미세 유체 채널 캡 구조체(228), 재배선 층(RDL)(268), 2개의 솔더 범프들(248-1 및 248-2), 및 2개의 분리 칩들(예를 들어, RAM 및 데이터 프로세싱 칩 플러스 보조 칩)(250-1 및 250-2)을 포함한다. 미세 유체 채널 캡 구조체(228)는 후방 면(B)에 부착된다. 미세 유체 채널 캡 구조체(228)는, 다른 것들 중에서도, 캡 구조체(230), 챔버(244), 유입구/유출구 포트들(240'), 제2 TSV 구조체(246-2), 필러 구조체(254), 2개의 본드 링들(256), 산화물 층(258), 및 상부 산화물 층(259)을 포함한다. 챔버(244)는 테스트될 유체 샘플들을 홀딩할 수 있다. 유입구/유출구 포트들(240')은 튜브(들)를 통해 펌프들 및/또는 리저버들에 연결될 수 있다. 제1 분리 칩(250-1)은 캐리어 기판(220)을 통한 제1 TSV 구조체(246-1)를 사용하여 MLI 구조체(212)에 연결된다. 제2 분리 칩(250-2)은, 제2 TSV 구조체(246-2) 및 필러 구조체(254)를 통해, MLI 구조체(212)의 제1 금속 층에서의 전도성 라인들(예를 들어, 제1 금속 층 "M1"에서의 전도성 라인들)(214) 중 적어도 하나에 연결된다. 미세 유체 채널 캡 구조체(228)는 필러 구조체(254) 및 본드 링들(256)을 통해 MLI 구조체(212)의 전도성 라인들(214)에 본딩된다.
본 개시내용에 따른 실시예들은 바이오센서 시스템 패키지를 포함한다. 바이오센서 시스템 패키지는: 전방 면 및 후방 면을 갖는 반도체 층 내의 트랜지스터 구조체 - 트랜지스터 구조체는 채널 영역을 포함함 -; 반도체 층의 전방 면 상의 다층 인터커넥트(MLI) 구조체 - 트랜지스터 구조체는 MLI 구조체에 전기적으로 연결됨 -; MLI 구조체 상의 캐리어 기판; 캐리어 기판을 통해 연장되고 MLI 구조체와 분리 다이 사이에 전기적 커넥션을 제공하도록 구성되는 제1 기판 관통 비아(TSV) 구조체; 반도체 층의 후방 면 상의 매립 산화물(BOX) 층 - 여기서 매립 산화물 층은 채널 영역의 후방 면 상의 개구를 가지며, 계면 층이 채널 영역 위의 후방 면을 커버함 -; 및 매립 산화물 층에 부착되는 미세 유체 채널 캡 구조체를 포함한다.
추가의 실시예들은 바이오센서 시스템 패키지를 포함한다. 바이오센서 시스템 패키지는: 전방 면 및 후방 면을 갖는 반도체 층 내의 트랜지스터 구조체 - 트랜지스터 구조체는 채널 영역을 포함함 -; 제1 금속(M1) 층에서의 제1 전도성 라인 및 제2 전도성 라인을 포함하는, 반도체 층의 전방 면 상의 다층 인터커넥트(MLI) 구조체 - 트랜지스터 구조체는 MLI 구조체에 전기적으로 연결됨 -; MLI 구조체 상의 캐리어 기판; 반도체 층의 후방 면 상의 매립 산화물(BOX) 층 - 여기서 매립 산화물 층은 채널 영역의 후방 면 상의 개구, 제1 본딩 트렌치, 및 제2 본딩 트렌치를 가지며, 여기서 계면 층이 채널 영역 위의 후방 면을 커버함 -; 제1 전도성 라인 및 제2 전도성 라인에 부착되는 미세 유체 채널 캡 구조체; 및 미세 유체 채널 캡 구조체를 통해 연장되고 MLI 구조체와 분리 다이 사이에 전기적 커넥션을 제공하도록 구성되는 제2 기판 관통 비아(TSV) 구조체를 포함한다.
추가의 실시예들은 바이오센서 시스템 패키지를 제조하는 방법을 포함한다. 이 방법은: 기판을 제공하는 단계 - 기판은, 전방 면 및 후방 면을 갖는 반도체 층, 후방 면에서의 매립 산화물(BOX) 층, 및 후방 면에서의 벌크 실리콘 층을 포함함 -; 기판 상에 트랜지스터 구조체를 형성하는 단계 - 여기서 트랜지스터 구조체의 채널 영역은 반도체 층에 있음 -; 반도체 층의 전방 면 상에 다층 인터커넥트(MLI) 구조체를 형성하는 단계 - 여기서 MLI 구조체는 트랜지스터 구조체에 전기적으로 연결됨 -; MLI 구조체에 캐리어 기판을 부착하는 단계; 벌크 실리콘 층을 제거하는 단계; 채널 영역 위의 후방 면에 개구를 형성하기 위해 매립 산화물 층을 에칭하는 단계; 채널 영역 위의 후방 면 상에 계면 층을 성막하는 단계; 미세 유체 채널 캡 구조체를 제조하는 단계; 미세 유체 채널 캡 구조체를 BOX 층에 본딩하는 단계; 캐리어 기판을 박형화하는 단계; 캐리어 기판을 통해 연장되는 제1 기판 관통 비아(TSV) 구조체를 생성하는 단계 - 제1 TSV 구조체는 MLI 구조체에 전기적으로 연결됨 -; 및 유체 샘플들의 유입 및 유출을 위한 적어도 하나의 포트를 형성하기 위해 미세 유체 채널 캡 구조체를 박형화하는 단계를 포함한다.
전술한 것은 본 기술분야의 통상의 기술자들이 본 개시내용의 양태들을 더 잘 이해할 수도 있도록 몇몇 실시예들의 피처들을 약술한 것이다. 본 기술분야의 통상의 기술자들은 이들이 본 명세서에 소개된 실시예들의 동일한 목적들을 수행하거나 그리고/또는 동일한 이점들을 달성하기 위해 다른 프로세스들 및 구조체들을 설계 또는 수정하기 위한 기초로서 본 개시내용을 쉽게 사용할 수도 있다는 것을 인식해야 한다. 본 기술분야의 통상의 기술자들은 그러한 등가의 구성들이 본 개시내용의 사상 및 범주로부터 벗어나지 않고, 이들이 본 개시내용의 사상 및 범주로부터 벗어남이 없이 본 명세서에서 다양한 변화들, 대체들, 및 변경들을 행할 수도 있다는 것을 또한 알아야 한다.
[실시예 1]
바이오센서 시스템 패키지(biosensor system package)로서,
전방 면 및 후방 면을 갖는 반도체 층 내의 트랜지스터 구조체 - 상기 트랜지스터 구조체는 채널 영역을 포함함 -;
상기 반도체 층의 전방 면 상의 다층 인터커넥트(multi-layer interconnect)(MLI) 구조체 - 상기 트랜지스터 구조체는 상기 MLI 구조체에 전기적으로 연결됨 -;
상기 MLI 구조체 상의 캐리어 기판;
상기 캐리어 기판을 통해 연장되고 상기 MLI 구조체와 분리 다이(separate die) 사이에 전기적 커넥션을 제공하도록 구성되는 제1 기판 관통 비아(through substrate via)(TSV) 구조체;
상기 반도체 층의 후방 면 상의 매립 산화물(buried oxide)(BOX) 층 - 상기 매립 산화물 층은 상기 채널 영역의 후방 면 상의 개구를 가지며, 계면 층이 상기 채널 영역 위의 후방 면을 커버함 -; 및
상기 매립 산화물 층에 부착되는 미세 유체 채널 캡 구조체(microfluidic channel cap structure)
를 포함하는, 바이오센서 시스템 패키지.
[실시예 2]
실시예 1에 있어서,
상기 미세 유체 채널 캡 구조체는 상보성 금속 산화물 반도체(complementary metal-oxide-semiconductor)(CMOS) 호환가능 프로세스 플로(compatible process flow)를 사용하여 제조되는 것인, 바이오센서 시스템 패키지.
[실시예 3]
실시예 1에 있어서,
상기 미세 유체 채널 캡 구조체는:
테스트될 유체 샘플들을 수용하도록 구성되는 챔버를 갖는 캡 구조체 기판; 및
상기 챔버를 연결하는 그리고 상기 유체 샘플들의 유입 및 유출을 위한 적어도 하나의 포트
를 더 포함하는 것인, 바이오센서 시스템 패키지.
[실시예 4]
실시예 3에 있어서,
상기 미세 유체 채널 캡 구조체는:
상기 챔버의 저부(bottom) 및 측벽들을 커버하는 하이-k 산화물 재료 층(high-k oxide material layer)을 더 포함하는 것인, 바이오센서 시스템 패키지.
[실시예 5]
실시예 3에 있어서,
상기 캡 구조체 기판은, 상기 매립 산화물 층의 본딩 사이트(bonding site)들과 인터페이싱하는 본딩 구역들을 갖는 것인, 바이오센서 시스템 패키지.
[실시예 6]
실시예 1에 있어서,
상기 MLI 구조체는:
복수의 개재 유전체 층들;
복수의 전도성 라인들 - 각각의 전도성 라인은 상기 복수의 개재 유전체 층들 중 하나 내에 배치됨 -; 및
상기 복수의 전도성 라인들을 연결하는 복수의 전도성 수직 인터커넥트 액세스(vertical interconnect access)(VIA) 구조체들
을 포함하는 것인, 바이오센서 시스템 패키지.
[실시예 7]
실시예 1에 있어서,
상기 제1 TSV 구조체는:
전도성 재료;
상기 캐리어 기판으로부터 상기 전도성 재료를 격리시키는 라이너(liner); 및
상기 전도성 재료와 상기 라이너 사이의 확산 장벽 층(diffusion barrier layer)
을 포함하는 것인, 바이오센서 시스템 패키지.
[실시예 8]
실시예 1에 있어서,
분리 다이를 더 포함하고,
상기 분리 다이는 상기 제1 TSV 구조체에 전기적으로 연결되고, 상기 트랜지스터 구조체에 의해 수집된 데이터를 프로세싱하도록 구성되는 것인, 바이오센서 시스템 패키지.
[실시예 9]
실시예 1에 있어서,
상기 계면 층은 하이-k 재료 층인 것인, 바이오센서 시스템 패키지.
[실시예 10]
실시예 3에 있어서,
상기 계면 층은, 상기 유체 샘플들에서 표적 분석물(target analyte)을 결합시키는 것이 가능한 포획 시약(capture reagent)의 층을 포함하는 것인, 바이오센서 시스템 패키지.
[실시예 11]
바이오센서 시스템 패키지로서,
전방 면 및 후방 면을 갖는 반도체 층 내의 트랜지스터 구조체 - 상기 트랜지스터 구조체는 채널 영역을 포함함 -;
제1 금속(M1) 층에서의 제1 전도성 라인 및 제2 전도성 라인을 포함하는, 상기 반도체 층의 전방 면 상의 다층 인터커넥트(MLI) 구조체 - 상기 트랜지스터 구조체는 상기 MLI 구조체에 전기적으로 연결됨 -;
상기 MLI 구조체 상의 캐리어 기판;
상기 반도체 층의 후방 면 상의 매립 산화물(BOX) 층 - 상기 매립 산화물 층은 상기 채널 영역의 후방 면 상의 개구, 제1 본딩 트렌치, 및 제2 본딩 트렌치를 가지며, 계면 층이 상기 채널 영역 위의 후방 면을 커버함 -;
상기 제1 전도성 라인 및 상기 제2 전도성 라인에 부착되는 미세 유체 채널 캡 구조체; 및
상기 미세 유체 채널 캡 구조체를 통해 연장되고 상기 MLI 구조체와 분리 다이 사이에 전기적 커넥션을 제공하도록 구성되는 제2 기판 관통 비아(TSV) 구조체
를 포함하는, 바이오센서 시스템 패키지.
[실시예 12]
실시예 11에 있어서,
상기 미세 유체 채널 캡 구조체는 상보성 금속 산화물 반도체(CMOS) 호환가능 프로세스 플로를 사용하여 제조되는 것인, 바이오센서 시스템 패키지.
[실시예 13]
실시예 11에 있어서,
상기 미세 유체 채널 캡 구조체는:
테스트될 유체 샘플들을 수용하도록 구성되는 챔버를 갖는 캡 구조체 기판;
상기 챔버를 연결하는 그리고 상기 유체 샘플들의 유입 및 유출을 위한 적어도 하나의 포트;
상기 제1 본딩 트렌치에 수용되고 상기 제1 전도성 라인을 향해 돌출되는 필러 구조체(pillar structure) - 상기 필러 구조체는 상기 제2 TSV 구조체에 전기적으로 연결됨 -; 및
제2 본딩 트렌치에 수용되고 상기 제2 전도성 라인을 향해 돌출되는 본드 링
을 더 포함하는 것인, 바이오센서 시스템 패키지.
[실시예 14]
실시예 13에 있어서,
상기 필러 구조체와 상기 본드 링 모두는 전도성 층 및 중간 층을 포함하고, 상기 중간 층은 공융 본딩(eutectic bonding)을 위해 사용되는 것인, 바이오센서 시스템 패키지.
[실시예 15]
실시예 14에 있어서,
상기 전도성 층은 폴리실리콘으로 만들어지고, 상기 중간 층은 게르마늄으로 만들어지는 것인, 바이오센서 시스템 패키지.
[실시예 16]
실시예 131에 있어서,
상기 제2 TSV 구조체는:
상기 캡 구조체 기판의 부분 - 상기 캡 구조체 기판의 부분은 고도로 도핑됨 -; 및
상기 캡 구조체 기판의 부분을 둘러싸는 적어도 하나의 산화물 층
을 포함하는 것인, 바이오센서 시스템 패키지.
[실시예 17]
실시예 11에 있어서,
상기 분리 다이를 더 포함하고,
상기 다이는 상기 제2 TSV 구조체에 전기적으로 연결되고, 상기 트랜지스터 구조체에 의해 수집된 데이터를 프로세싱하도록 구성되는 것인, 바이오센서 시스템 패키지.
[실시예 18]
실시예 11에 있어서,
상기 계면 층은 하이-k 재료 층인 것인, 바이오센서 시스템 패키지.
[실시예 19]
바이오센서 시스템 패키지를 제조하는 방법으로서,
기판을 제공하는 단계 - 상기 기판은, 전방 면 및 후방 면을 갖는 반도체 층, 상기 후방 면에서의 매립 산화물(BOX) 층, 및 상기 후방 면에서의 벌크 실리콘 층을 포함함 -;
상기 기판 상에 트랜지스터 구조체를 형성하는 단계 - 상기 트랜지스터 구조체의 채널 영역은 상기 반도체 층 내에 있음 -;
상기 반도체 층의 전방 면 상에 다층 인터커넥트(MLI) 구조체를 형성하는 단계 - 상기 MLI 구조체는 상기 트랜지스터 구조체에 전기적으로 연결됨 -;
상기 MLI 구조체에 캐리어 기판을 부착하는 단계;
상기 벌크 실리콘 층을 제거하는 단계;
상기 채널 영역 위의 후방 면에 개구를 형성하기 위해 상기 매립 산화물 층을 에칭하는 단계;
상기 채널 영역 위의 후방 면 상에 계면 층을 성막하는 단계;
미세 유체 채널 캡 구조체를 제조하는 단계;
상기 미세 유체 채널 캡 구조체를 상기 BOX 층에 본딩하는 단계;
상기 캐리어 기판을 박형화(thinning)하는 단계;
상기 캐리어 기판을 통해 연장되는 제1 기판 관통 비아(TSV) 구조체를 생성하는 단계 - 상기 제1 TSV 구조체는 상기 MLI 구조체에 전기적으로 연결됨 -; 및
유체 샘플들의 유입 및 유출을 위한 적어도 하나의 포트를 형성하기 위해 상기 미세 유체 채널 캡 구조체를 박형화하는 단계
를 포함하는, 방법.
[실시예 20]
실시예 19에 있어서,
상기 미세 유체 채널 캡 구조체를 제조하는 단계는:
캡 구조체 기판을 제공하는 단계;
챔버에 대응하는 전역 공동 영역(global cavity region)을 미리 규정하기 위해 상기 캡 구조체 기판을 에칭하는 단계;
상기 캡 구조체 기판의 본딩 구역들 상에 하드 마스크를 성막하는 단계;
상기 적어도 하나의 포트에 대응하는 적어도 하나의 채널을 형성하기 위해 상기 전역 공동 영역의 부분을 패터닝 및 에칭하는 단계;
상기 챔버를 형성하기 위해 전체 전역 공동 영역을 에칭하는 단계; 및
상기 하드 마스크를 제거하는 단계
를 포함하는 것인, 방법.

Claims (10)

  1. 바이오센서 시스템 패키지(biosensor system package)로서,
    전방 면 및 후방 면을 갖는 반도체 층 내의 트랜지스터 구조체 - 상기 트랜지스터 구조체는 채널 영역을 포함함 -;
    상기 반도체 층의 전방 면 상의 다층 인터커넥트(multi-layer interconnect)(MLI) 구조체 - 상기 트랜지스터 구조체는 상기 MLI 구조체에 전기적으로 연결됨 -;
    상기 MLI 구조체 상의 캐리어 기판;
    상기 캐리어 기판을 통해 연장되고 상기 MLI 구조체와 제1 분리 다이(separate die) 사이에 전기적 커넥션을 제공하도록 구성되는 제1 기판 관통 비아(through substrate via)(TSV) 구조체;
    상기 반도체 층의 후방 면 상의 매립 산화물(buried oxide)(BOX) 층 - 상기 매립 산화물 층은 상기 채널 영역의 후방 면 상의 개구를 가지며, 계면 층이 상기 채널 영역 위의 후방 면을 커버함 -;
    상기 매립 산화물 층에 부착되는 미세 유체 채널 캡 구조체(microfluidic channel cap structure); 및
    상기 미세 유체 채널 캡 구조체를 통해 연장되고 상기 MLI 구조체와 제2 분리 다이 사이에 전기적 커넥션을 제공하도록 구성되는 제2 기판 관통 비아(TSV) 구조체
    를 포함하는, 바이오센서 시스템 패키지.
  2. 제1항에 있어서,
    상기 미세 유체 채널 캡 구조체는:
    테스트될 유체 샘플들을 수용하도록 구성되는 챔버를 갖는 캡 구조체 기판; 및
    상기 챔버를 연결하는 그리고 상기 유체 샘플들의 유입 및 유출을 위한 적어도 하나의 포트
    를 더 포함하는 것인, 바이오센서 시스템 패키지.
  3. 제2항에 있어서,
    상기 미세 유체 채널 캡 구조체는:
    상기 챔버의 저부(bottom) 및 측벽들을 커버하는 하이-k 산화물 재료 층(high-k oxide material layer)을 더 포함하는 것인, 바이오센서 시스템 패키지.
  4. 제2항에 있어서,
    상기 캡 구조체 기판은, 상기 매립 산화물 층의 본딩 사이트(bonding site)들과 인터페이싱하는 본딩 구역들을 갖는 것인, 바이오센서 시스템 패키지.
  5. 제1항에 있어서,
    상기 MLI 구조체는:
    복수의 개재 유전체 층들;
    복수의 전도성 라인들 - 각각의 전도성 라인은 상기 복수의 개재 유전체 층들 중 하나 내에 배치됨 -; 및
    상기 복수의 전도성 라인들을 연결하는 복수의 전도성 수직 인터커넥트 액세스(vertical interconnect access)(VIA) 구조체들
    을 포함하는 것인, 바이오센서 시스템 패키지.
  6. 제1항에 있어서,
    상기 제1 기판 관통 비아(TSV) 구조체는:
    전도성 재료;
    상기 캐리어 기판으로부터 상기 전도성 재료를 격리시키는 라이너(liner); 및
    상기 전도성 재료와 상기 라이너 사이의 확산 장벽 층(diffusion barrier layer)
    을 포함하는 것인, 바이오센서 시스템 패키지.
  7. 제1항에 있어서,
    상기 제1 분리 다이를 더 포함하고,
    상기 제1 분리 다이는 상기 제1 기판 관통 비아(TSV) 구조체에 전기적으로 연결되고, 상기 트랜지스터 구조체에 의해 수집된 데이터를 프로세싱하도록 구성되는 것인, 바이오센서 시스템 패키지.
  8. 제2항에 있어서,
    상기 계면 층은, 상기 유체 샘플들에서 표적 분석물(target analyte)을 결합시키는 것이 가능한 포획 시약(capture reagent)의 층을 포함하는 것인, 바이오센서 시스템 패키지.
  9. 바이오센서 시스템 패키지로서,
    전방 면 및 후방 면을 갖는 반도체 층 내의 트랜지스터 구조체 - 상기 트랜지스터 구조체는 채널 영역을 포함함 -;
    제1 금속(M1) 층에서의 제1 전도성 라인 및 제2 전도성 라인을 포함하는, 상기 반도체 층의 전방 면 상의 다층 인터커넥트(MLI) 구조체 - 상기 트랜지스터 구조체는 상기 MLI 구조체에 전기적으로 연결됨 -;
    상기 MLI 구조체 상의 캐리어 기판;
    상기 반도체 층의 후방 면 상의 매립 산화물(BOX) 층 - 상기 매립 산화물 층은 상기 채널 영역의 후방 면 상의 개구, 제1 본딩 트렌치, 및 제2 본딩 트렌치를 가지며, 계면 층이 상기 채널 영역 위의 후방 면을 커버함 -;
    상기 제1 전도성 라인 및 상기 제2 전도성 라인에 부착되는 미세 유체 채널 캡 구조체; 및
    상기 미세 유체 채널 캡 구조체를 통해 연장되고 상기 MLI 구조체와 분리 다이 사이에 전기적 커넥션을 제공하도록 구성되는 제2 기판 관통 비아(TSV) 구조체
    를 포함하는, 바이오센서 시스템 패키지.
  10. 바이오센서 시스템 패키지를 제조하는 방법으로서,
    기판을 제공하는 단계 - 상기 기판은, 전방 면 및 후방 면을 갖는 반도체 층, 상기 후방 면에서의 매립 산화물(BOX) 층, 및 상기 후방 면에서의 벌크 실리콘 층을 포함함 -;
    상기 기판 상에 트랜지스터 구조체를 형성하는 단계 - 상기 트랜지스터 구조체의 채널 영역은 상기 반도체 층 내에 있음 -;
    상기 반도체 층의 전방 면 상에 다층 인터커넥트(MLI) 구조체를 형성하는 단계 - 상기 MLI 구조체는 상기 트랜지스터 구조체에 전기적으로 연결됨 -;
    상기 MLI 구조체에 캐리어 기판을 부착하는 단계;
    상기 벌크 실리콘 층을 제거하는 단계;
    상기 채널 영역 위의 후방 면에 개구를 형성하기 위해 상기 매립 산화물 층을 에칭하는 단계;
    상기 채널 영역 위의 후방 면 상에 계면 층을 성막하는 단계;
    미세 유체 채널 캡 구조체를 제조하는 단계;
    상기 미세 유체 채널 캡 구조체를 상기 BOX 층에 본딩하는 단계;
    상기 캐리어 기판을 박형화(thinning)하는 단계;
    상기 캐리어 기판을 통해 연장되는 제1 기판 관통 비아(TSV) 구조체를 생성하는 단계 - 상기 제1 기판 관통 비아(TSV) 구조체는 상기 MLI 구조체에 전기적으로 연결됨 -; 및
    유체 샘플들의 유입 및 유출을 위한 적어도 하나의 포트를 형성하기 위해 상기 미세 유체 채널 캡 구조체를 박형화하는 단계
    를 포함하는, 방법.
KR1020210002574A 2020-01-30 2021-01-08 고체 상태 바이오센서, 미세 유체들, 및 실리콘 관통 비아의 웨이퍼 레벨 패키징 KR102591916B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062967890P 2020-01-30 2020-01-30
US62/967,890 2020-01-30
US17/095,151 2020-11-11
US17/095,151 US11747298B2 (en) 2020-01-30 2020-11-11 Wafer-level packaging of solid-state biosensor, microfluidics, and through-silicon via

Publications (2)

Publication Number Publication Date
KR20210098325A KR20210098325A (ko) 2021-08-10
KR102591916B1 true KR102591916B1 (ko) 2023-10-19

Family

ID=76853573

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210002574A KR102591916B1 (ko) 2020-01-30 2021-01-08 고체 상태 바이오센서, 미세 유체들, 및 실리콘 관통 비아의 웨이퍼 레벨 패키징

Country Status (5)

Country Link
US (2) US11747298B2 (ko)
KR (1) KR102591916B1 (ko)
CN (1) CN113270390B (ko)
DE (1) DE102020130573A1 (ko)
TW (1) TWI807249B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11488980B2 (en) 2020-08-26 2022-11-01 Globalfoundries U.S. Inc. Wafer with localized semiconductor on insulator regions with cavity structures
US12027580B2 (en) * 2020-09-22 2024-07-02 Globalfoundries U.S. Inc. Semiconductor on insulator wafer with cavity structures
US20220236261A1 (en) * 2021-01-26 2022-07-28 IceMos Technology Limited Semiconductor Device Providing a Biosensor to Test for Pathogen
CN116429300B (zh) * 2023-06-12 2023-09-22 之江实验室 基于单晶硅和微流道冷却的超高温压力传感芯片及系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100164117A1 (en) * 2008-12-31 2010-07-01 Ming-Fa Chen Through-Silicon Via With Air Gap
US20120211885A1 (en) * 2011-02-17 2012-08-23 Choi Yunseok Semiconductor package having through silicon via (tsv) interposer and method of manufacturing the semiconductor package
US20170158500A1 (en) * 2015-03-12 2017-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a micro-well of a biosensor

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8222139B2 (en) * 2010-03-30 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) processing of through-silicon via (TSV) and contact plug simultaneously
US8666505B2 (en) * 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
US9689835B2 (en) 2011-10-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Amplified dual-gate bio field effect transistor
US8587077B2 (en) * 2012-01-02 2013-11-19 Windtop Technology Corp. Integrated compact MEMS device with deep trench contacts
US8728844B1 (en) 2012-12-05 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Backside CMOS compatible bioFET with no plasma induced damage
CN104051512B (zh) * 2013-03-14 2017-08-25 台湾积体电路制造股份有限公司 性能增强的背面感测生物场效应晶体管
US9299640B2 (en) 2013-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Front-to-back bonding with through-substrate via (TSV)
US10131540B2 (en) 2015-03-12 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method to mitigate soldering offset for wafer-level chip scale package (WLCSP) applications
US9704784B1 (en) * 2016-07-14 2017-07-11 Nxp Usa, Inc. Method of integrating a copper plating process in a through-substrate-via (TSV) on CMOS wafer
KR102138344B1 (ko) 2016-08-09 2020-07-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 유체 분석을 위한 카트리지 및 분석기

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100164117A1 (en) * 2008-12-31 2010-07-01 Ming-Fa Chen Through-Silicon Via With Air Gap
US20120211885A1 (en) * 2011-02-17 2012-08-23 Choi Yunseok Semiconductor package having through silicon via (tsv) interposer and method of manufacturing the semiconductor package
US20170158500A1 (en) * 2015-03-12 2017-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a micro-well of a biosensor

Also Published As

Publication number Publication date
CN113270390A (zh) 2021-08-17
US20210239647A1 (en) 2021-08-05
TWI807249B (zh) 2023-07-01
US11747298B2 (en) 2023-09-05
DE102020130573A1 (de) 2021-08-05
CN113270390B (zh) 2024-04-05
US20230393091A1 (en) 2023-12-07
TW202129273A (zh) 2021-08-01
KR20210098325A (ko) 2021-08-10

Similar Documents

Publication Publication Date Title
KR102591916B1 (ko) 고체 상태 바이오센서, 미세 유체들, 및 실리콘 관통 비아의 웨이퍼 레벨 패키징
US11486854B2 (en) CMOS compatible BioFET
US10823696B2 (en) Method of fabricating a biological field-effect transistor (BioFET) with increased sensing area
US10473616B2 (en) Backside CMOS compatible BioFET with no plasma induced damage
US10094801B2 (en) Amplified dual-gate bio field effect transistor
US9976982B2 (en) Backside sensing BioFET with enhanced performance
US20240044889A1 (en) Biosensor system with integrated microneedle
TW201721873A (zh) 半導體裝置及其製造方法
CN104049021B (zh) 具有增大的感测面积的biofet
US20170067890A1 (en) On-Chip Disease Diagnostic Platform for Dual-Gate Ion Sensitive Field Effect Transistor
US20240151686A1 (en) Biological material sensing semiconductor device

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant