CN112969817A - 含硅膜的高温原子层沉积 - Google Patents

含硅膜的高温原子层沉积 Download PDF

Info

Publication number
CN112969817A
CN112969817A CN201980073823.8A CN201980073823A CN112969817A CN 112969817 A CN112969817 A CN 112969817A CN 201980073823 A CN201980073823 A CN 201980073823A CN 112969817 A CN112969817 A CN 112969817A
Authority
CN
China
Prior art keywords
reactor
oxygen
silicon oxide
oxide film
methylene
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980073823.8A
Other languages
English (en)
Other versions
CN112969817B (zh
Inventor
王美良
雷新建
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to CN202410379141.2A priority Critical patent/CN118086873A/zh
Publication of CN112969817A publication Critical patent/CN112969817A/zh
Application granted granted Critical
Publication of CN112969817B publication Critical patent/CN112969817B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0896Compounds with a Si-H linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/80Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70
    • C01P2002/85Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70 by XPS, EDX or EDAX data
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/10Solid density
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/80Compositional purity

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Dispersion Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

提供了一种用于在600℃或更高的一个或多个温度下在原子层沉积工艺中沉积氧化硅膜的方法和组合物。在一个方面,提供了一种在约600℃至1000℃的一个或多个温度下在反应器中的衬底上沉积氧化硅膜或材料的方法,包括以下步骤:向反应器中引入至少一种选自具有本文所述式I和式II的化合物的卤代碳硅烷前体;用吹扫气体吹扫反应器;将含氧源引入反应器中;和用吹扫气体吹扫反应器;并且其中重复这些步骤直至沉积所需厚度的氧化硅。

Description

含硅膜的高温原子层沉积
本申请要求2018年10月5日提交的美国临时申请62/742,056的优先权。本申请进一步要求2018年10月10日提交的美国临时申请62/743,887的优先权。
技术领域
本文描述了用于形成含硅膜的组合物和方法。更具体地,本文描述了一种用于在约600℃或更高的一个或多个沉积温度下并使用原子层沉积(ALD)工艺形成氧化硅膜的组合物和方法。
背景技术
热氧化是半导体应用中通常用于沉积高纯度和高保形氧化硅膜如二氧化硅(SiO2)的工艺。然而,热氧化工艺具有非常低的沉积速率,例如,在700℃下低于
Figure BDA0003056358670000011
(参见B.E.Deal和A.S.Grove"General Relationship for the ThermalOxidation of Silicon."Journal of Applied Physics,Vol 36,3770页(1965)),这使得其对于商业上采用的大批量制造工艺不切实际。
原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)是用于在低温(<500℃)下沉积二氧化硅(SiO2)保形膜的工艺。在ALD和PEALD工艺中,前体和反应性气体(例如氧气或臭氧)在一定数量的循环中分别脉冲以用每个循环形成单层二氧化硅(SiO2)。然而,使用这些工艺在低温下沉积的二氧化硅(SiO2)可能含有对半导体应用有害的水平的杂质如氢(H)、碳(C)、氮(N)或其组合。为了弥补这一点,一种可能的解决方案是将沉积温度提高至高于500℃的温度。然而,在这些较高的温度下,半导体工业采用的常规前体倾向于自身反应、热分解和以化学气相沉积(CVD)模式而非ALD模式沉积。与ALD沉积相比,CVD模式沉积具有降低的保形性,尤其是对于具有高纵横比结构的半导体应用如NAND和V-NAND。另外,CVD模式沉积工艺比ALD模式沉积对膜或材料厚度的控制更少。
US公开第2014/0170858号描述了一种通过执行预定次数的循环在衬底上形成包含预定元素、氧和至少一种选自氮、碳和硼的元素的膜的方法,该循环包括向衬底供应源气体(其中源气体包含预定元素、氯和氧,具有该预定元素和氧的化学键),以及向衬底供应反应性气体(其中反应性气体包含至少一种选自氮、碳和硼的元素)。
US公开第2007/0111545号描述了一种在半导体器件制造中使用ALD形成二氧化硅层以提高沉积速率和改善阶梯覆盖率的方法。
US专利第7,498,273号描述了一种在PECVD中使用硅氧烷在形成于衬底上的间隙中沉积低κ介电层的方法,其得到具有低孔隙率、高蚀刻选择性和较少裂纹的膜。该方法包括将有机Si前体和O前体引入到沉积室。有机Si前体具有小于8的C:Si原子比,并且O前体包含在沉积室外生成的原子氧。
US专利第7,084,076号描述了一种使用原子层沉积(ALD)形成二氧化硅膜的方法,其中使用卤素-或NCO-取代的硅氧烷作为Si源。
US公开第2013/0295779号描述了用于在约500℃或更高的一个或多个沉积温度下形成含氧化硅的膜的组合物和ALD。
前述专利和专利申请在此引入作为参考。
因此,需要开发一种使用原子层沉积(ALD)工艺或ALD样工艺(例如但不限于循环化学气相沉积工艺)来形成高质量、低杂质、高保形的氧化硅膜的方法,以替代在高于600℃的温度下的基于热的沉积工艺而用于垂直NAND(V-NAND)存储器技术。此外,可能希望的是开发高温沉积(例如,在600℃或更高的一个或多个温度下的沉积)以在用于制造V-NAND存储器的ALD或ALD样工艺中改善一种或多种膜性质如纯度和/或密度。
发明内容
本文描述了一种在高温下(例如,在约600℃或更高的一个或多个温度下)在原子层沉积(ALD)或ALD样工艺中沉积氧化硅材料或膜的方法。使用本文所述的组合物或方法沉积的氧化硅膜包含至少一种或多种以下属性:约2.1g/cm3或更高的密度;相对于热氧化物在0.5wt.%dHF中约6或更低,优选约4或更低,最优选约3或更低的湿蚀刻速率(WER);通过二次离子质谱(SIMS)测量的2x1019原子/cm3或更低的碳含量。
在一个方面,提供了一种沉积氧化硅膜或材料的方法,包括以下步骤:
a.在反应器中提供衬底并将衬底加热至所需温度;
b.向反应器中引入至少一种选自具有下式I和II的化合物的卤代碳硅烷(halidocarbosilane)前体:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
其中X=Cl、Br或I;R和R2各自独立地选自氢原子和C1-C3烷基;R1是与两个硅原子键合的C1连接体,且选自亚甲基、(甲基)亚甲基、(二甲基)亚甲基和(乙基)亚甲基;R3选自氢和C1-C3烷基;n=1、2或3;m=0、1、2或3;p=0、1或2,q=0、1或2,和p+q=2;
c.用吹扫气体吹扫反应器;
d.将含氧源引入反应器中;和
e.用吹扫气体吹扫反应器;
其中重复步骤b至e直至沉积所需厚度的氧化硅;并且其中该方法在约600至850℃范围的一个或多个温度下进行。在该实施方案或其他实施方案中,该方法在约50毫托(mTorr)至约760托范围的一个或多个压力下进行。在该实施方案或其他实施方案中,含氧源是至少一个选自氧、过氧化物、氧等离子体、二氧化碳等离子体、一氧化碳等离子体、包含氢和氧的组合物、包含氢和臭氧的组合物、包含二氧化碳和氧的组合物、包含水和氧的组合物、包含氮和氧的组合物(即一氧化二氮N2O或一氧化氮,NO)、水蒸气、水蒸气等离子体、包含水和臭氧的组合物、过氧化氢、臭氧源及其组合的成员。
在另一方面,提供了一种沉积氧化硅膜或材料的方法,包括以下步骤:
a.在反应器中提供衬底并将该衬底加热至所需温度;
b.向反应器中引入至少一种选自具有下式I和II的化合物的卤代碳硅烷前体:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
其中X=Cl、Br或I;R和R2各自独立地选自氢原子和C1-C3烷基;R1是与两个硅原子键合的C1连接体,且选自亚甲基、(甲基)亚甲基、(二甲基)亚甲基和(乙基)亚甲基;R3选自氢和C1-C3烷基;n=1、2或3;m=0、1、2或3;p=0、1或2,q=0、1或2,和p+q=2;
c.用吹扫气体吹扫反应器;
d.将含氧源引入反应器中;
e.用吹扫气体吹扫反应器;
f.向反应器中引入水蒸气或羟基源;和
g.用吹扫气体吹扫反应器;
其中重复步骤b至g直至沉积所需厚度的氧化硅;并且其中该方法在600至850℃范围的一个或多个温度下进行。
在上述方法的一个或多个实施方案中,该方法在约50毫托(mTorr)至约760托范围的一个或多个压力下进行。
在上述方法的一个或多个实施例方案中,吹扫气体选自氮气、氦气、氩气及其组合。
在上述方法的一个或多个实施方案中,含氧源包含至少一个选自氧、过氧化物、氧等离子体、二氧化碳等离子体、一氧化碳等离子体、包含氢和氧的组合物、包含氢和臭氧的组合物、包含二氧化碳和氧的组合物、包含水和氧的组合物、包含氮和氧的组合物(即一氧化二氮N2O或一氧化氮,NO)、水蒸气、水蒸气等离子体、包含水和臭氧的组合物、过氧化氢、臭氧源及其组合的成员。
在进一步的方面,提供了一种用于沉积氧化硅膜的组合物,其包含至少一种选自具有下式I和II的化合物的卤代碳硅烷前体:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
其中X=Cl、Br或I;R和R2各自独立地选自氢原子和C1-C3烷基;R1是与两个硅原子键合的C1连接体,且选自亚甲基、(甲基)亚甲基、(二甲基)亚甲基和(乙基)亚甲基;R3选自氢和C1-C3烷基;n=1、2或3;m=0、1、2或3;p=0、1或2,q=0、1或2,和p+q=2。这些卤代碳硅烷前体的例子显示于如下表I中:
表I
Figure BDA0003056358670000051
Figure BDA0003056358670000061
本发明的一个实施方案涉及用于沉积含硅膜的组合物,其包含至少一种选自具有下式I和II的化合物的卤代碳硅烷前体:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
其中X=Cl、Br或I;R和R2各自独立地选自氢原子和C1-C3烷基;R1是与两个硅原子键合的C1连接体,且选自亚甲基、(甲基)亚甲基、(二甲基)亚甲基和(乙基)亚甲基;R3选自氢和C1-C3烷基;n=1、2或3;m=0、1、2或3;p=0、1或2,q=0、1或2,和p+q=2。
本发明的另一实施方案涉及通过任何前述方法产生的含硅膜。本发明的另一个实施方案涉及密度约2.1g/cm3或更高;相对于热氧化物在0.5wt.%dHF中约6或更低的湿蚀刻速率(WER);和碳含量2x1019原子/cm3或更低的含硅膜。
本发明的各个方面和实施方案可以单独使用或相互组合使用。
具体实施方式
本文描述了用于形成氧化硅膜的方法和组合物。术语氧化硅膜或材料包括但不限于化学计量或非化学计量的氧化硅膜、氮氧化硅膜、碳氧化硅膜、氮碳氧化硅膜及其组合。在一个特定实施方案中,氧化硅膜在约600℃或更高的一个或多个温度下以原子层沉积(ALD)或ALD样沉积工艺(例如但不限于循环化学气相沉积工艺(CCVD))进行沉积。在整个说明书中,术语“ALD或ALD样”表示包括但不限于以下过程的工艺:a)将包括卤代硅烷前体和反应性气体的各种反应物顺序引入反应器如单晶片ALD反应器、半分批ALD反应器或批式炉ALD反应器中;b)通过将衬底移动或旋转至反应器的不同区段使包括卤代硅烷前体和反应性气体的各种反应物暴露于衬底,并且每个区段通过惰性气体帘幕分隔开(即空间ALD反应器或卷对卷ALD反应器)。在整个说明书中,术语“C1连接体”指与两个硅原子键合的一个碳原子,例如Si-CH2-Si(即,C1连接体是亚甲基)或Si-CH(Me)-Si(即,C1连接体是(甲基)亚甲基),或Si-CMe2-Si(即,C1连接体是(二甲基)亚甲基),或Si-CH(Et)-Si(即,C1连接体是(乙基)亚甲基)。
本文所述方法在循环工艺中,在约600℃至约950℃或约650℃至约750℃或约700℃至850℃的一个或多个沉积温度下使用至少一种卤代碳硅烷前体和含氧源以提供氧化硅膜。在本文所述的沉积方法的一个实施方案中,该方法包括以下步骤:
a.在反应器中提供衬底并将该衬底加热至所需温度;
b.向反应器中引入至少一种选自具有下式I和II的化合物的卤代碳硅烷前体:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
其中X=Cl、Br或I;R和R2各自独立地选自氢原子和C1-C3烷基;R1是与两个硅原子键合的C1连接体,且选自亚甲基、(甲基)亚甲基、(二甲基)亚甲基和(乙基)亚甲基;R3选自氢和C1-C3烷基;n=1、2或3;m=0、1、2或3;p=0、1或2,q=0、1或2,和p+q=2;
c.用吹扫气体吹扫反应器;
d.将含氧源引入反应器中;和
e.用吹扫气体吹扫反应器。
其中重复步骤b至e,直至至少在衬底的表面上沉积所需厚度的氧化硅膜。
尽管不希望局限于理论或解释,据信与仅具有一个硅原子的常规硅前体(例如四氯化硅或二甲基氨基三甲基硅烷)相比,本文所述的至少一种卤代碳硅烷前体应具有至少一种锚定官能团以及预先存在的Si-C-Si连接(即,C1连接体),其与衬底表面上的某些反应性位点反应以锚定Si-C-Si物质的单层,该单层可用作屏障层以防止含氧源和衬底之间的任何不需要的相互作用,特别是在形成前几层氧化硅期间。锚定官能团可选自卤(Cl、Br、I)基团。钝化官能团选自烷基,优选甲基。然后,表面上的其余基团可被氧化以形成更多的Si-O-Si连接以及羟基。另外,也可以将羟基源(如H2O或水等离子体)引入反应器中以形成更多的羟基作为用于下一ALD循环的反应性位点。
如前所述,提供了一种用于沉积氧化硅膜的组合物,其包含至少一种选自具有下式I和II的化合物的卤代碳硅烷前体:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
其中X=Cl、Br或I;R和R2各自独立地选自氢原子和C1-C3烷基;R1是与两个硅原子键合的C1连接体,且选自亚甲基、(甲基)亚甲基、(二甲基)亚甲基和(乙基)亚甲基;R3选自氢和C1-C3烷基;n=1、2或3;m=0、1、2或3;p=0、1或2,q=0、1或2,和p+q=2。具有式I或式II的前体的例子包括但不限于:1,1,1,3,3,3-六氯二硅杂丙烷、1,1,1,3,3-五卤代-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3-五卤代-2-甲基-1,3-二硅杂丁烷、2,2,4,4-四氯-2,4-二硅杂戊烷、1,1,3,3-四氯-1,3-二硅杂丙烷、2,4-二氯-2,4-二甲基-2,4-二硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、2,2,4,6,6-五氯-2,4,6-三甲基-2,4,6-三硅杂庚烷及其混合物。
在一个特定实施方案中,卤代碳硅烷前体包含至少一个锚定官能团(例如,Si-Cl)和至少一个钝化官能团(例如,Si-Me,其中Me是甲基)。下表II提供了这类前体的实例:
表II:
Figure BDA0003056358670000081
Figure BDA0003056358670000091
在某些实施方案中,使用本文所述方法沉积的氧化硅膜使用含氧源、含氧的试剂或前体在氧的存在下形成的。含氧源可以以至少一种含氧源气体的形式引入反应器中和/或可以附带存在于沉积工艺中使用的其他前体中。合适的含氧源气体可包括例如氧、过氧化物、氧等离子体、二氧化碳等离子体、一氧化碳等离子体、包含氢和氧的组合物、包含氢和臭氧的组合物、包含二氧化碳和氧的组合物、包含水和氧的组合物、包含氮和氧的组合物(即一氧化二氮N2O或一氧化氮,NO)、水蒸气、水蒸气等离子体、包含水和臭氧的组合物、过氧化氢、臭氧源及其组合。在某些实施方案中,含氧源包含以约1至约10000标准立方厘米(sccm)或约1至约2000标准立方厘米(sccm)或约1至约1000sccm的流速引入反应器中的含氧源气体。含氧源可以引入约0.1至约100秒范围的时间。在一个特定实施方案中,含氧源包括温度为10℃或更高的水。在其中通过ALD或循环CVD工艺沉积膜的实施方案中,前体脉冲可具有大于0.01秒的脉冲持续时间,并且含氧源可具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可为小于0.01秒的脉冲持续时间。在又一个实施方案中,脉冲之间的吹扫持续时间可以低至0秒,或者连续地脉冲而没有之间的吹扫。
在某些实施方案中,氧化硅膜进一步包含氮。在这些实施方案中,膜使用本文所述的方法沉积,并在含氮源的存在下形成。含氮源可以以至少一种氮源气体的形式引入反应器中和/或可以附带地存在于沉积工艺中使用的其他前体中。合适的含氮源气体可包括例如氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。在某些实施方案中,含氮源包括以约1至约2000标准立方厘米(square cubiccentimeter)(sccm)或约1至约1000sccm的流速引入反应器中的氨等离子体或氢/氮等离子体源气体。含氮源可以引入约0.1至约100秒范围的时间。在其中通过ALD或循环CVD工艺沉积膜的实施方案中,前体脉冲可具有大于0.01秒的脉冲持续时间,并且含氮源可具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可为小于0.01秒的脉冲持续时间。在又一个实施方案中,脉冲之间的吹扫持续时间可以低至0秒,或者连续地脉冲而没有之间的吹扫。
本文公开的沉积方法可涉及一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性吹扫气体包括但不限于氩气(Ar)、氮气(N2)、氦气(He)、氖气、氢气(H2)及其混合物。在某些实施方案中,以约10至约6000sccm的流速向反应器中供应吹扫气体如Ar,持续约0.1至1000秒,从而吹扫反应器中可能残留的未反应材料和任何副产物。
供应前体、含氧源、含氮源和/或其他前体、源气体和/或试剂的相应步骤可通过改变供应它们的时间来进行以改变所得介电膜的化学计量组成。
吹扫气体可与前一步骤的残留气体组合以形成组合物。例如,该组合物可包含吹扫气体和至少一种本发明的前体。吹扫气体将占该组合物的约1%至约95%。
能量向卤代碳硅烷前体、含氧源、含氮源或其组合中的至少一种施加以诱导反应并在衬底上形成含硅膜或涂层。这种能量可通过但不限于热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合来提供。在某些实施方案中,二次RF射频源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方案中,等离子体发生工艺可包括直接等离子体发生工艺(其中等离子体在反应器中直接生成),或者可选地,远程等离子体发生工艺(其中等离子体在反应器外部生成并供应到反应器中)。
该至少一种卤代碳硅烷前体可通过多种方式输送至反应室如循环CVD或ALD反应器。在一个实施方案中,可以使用液体输送系统。在替代实施方案中,可采用组合液体输送和闪蒸处理单元,例如由Shoreview,MN的MSP Corporation制造的涡轮气化器,以使低挥发性材料能够定量地输送,这导致可重复的输送和沉积而没有前体的热分解。在液体输送方式中,本文所述的前体可以纯净液体形式递送,或者可选择地,可以在包含该前体的溶剂制剂或组合物中使用。因此,在某些实施方案中,前体制剂可包括具有在给定的最终用途应用中可能是期望的和有利的合适性质的溶剂组分,以在衬底上形成膜。
在本文所述方法的一个实施方案中,可以使用循环沉积工艺,如ALD样、ALD或PEALD,其中使用至少一种卤代碳硅烷前体和含氧源进行沉积。ALD样工艺被定义为循环CVD工艺,但仍提供高保形氧化硅膜。
在某些实施方案中,从前体罐连接至反应室的气体管线加热至取决于工艺要求的一个或多个温度,并且将至少一种卤代碳硅烷前体的容器保持在用于鼓泡的一个或多个温度下。在其他实施方案中,包含至少一种卤代碳硅烷的溶液注入保持在用于直接液体注射的一个或多个温度下的气化器中。
氩气和/或其他气体的流可用作载气以帮助在前体脉冲期间将至少一种卤代碳硅烷的蒸气输送至反应室。在某些实施方案中,反应室工艺压力为约1托。
在典型的ALD或ALD样工艺(如CCVD工艺)中,在反应室中的加热台上加热衬底(如氧化硅衬底),该反应室最初暴露于硅前体以允许复合物化学吸附到衬底表面上。
吹扫气体(如氩气)从处理室吹扫掉未吸收的过量复合物。在充分吹扫后,可将含氧源引入反应室中以与吸收的表面反应,随后进行另一次气体吹扫以从反应室去除反应副产物。工艺循环可重复进行以达到所需的膜厚度。在一些情况下,泵送可以替代用惰性气体的吹扫,或者可以使用两者以去除未反应的硅前体。
本发明的ALD工艺可实现的膜生长速率可以在约
Figure BDA0003056358670000121
/循环至约
Figure BDA0003056358670000122
/循环、约
Figure BDA0003056358670000123
/循环至约
Figure BDA0003056358670000124
/循环,和在一些优选情况下,约
Figure BDA0003056358670000125
/循环至约
Figure BDA0003056358670000126
/循环的范围内。沉积的膜的折射率(RI)可在约1.35至约1.55、约1.40至约1.50以及在一些情况下,约1.44至约1.48的范围内。所沉积膜相对于热氧化物在稀HF(去离子水中的约0.5wt.%HF)相对蚀刻速率可在约0.5至约8.0、约1.0至约6.0,并且在一些优选情况下,约1.0至约4.0的范围内。
在该实施方案或其他实施方案中,应当理解,本文描述的方法的步骤可以以多种顺序执行,可以顺序执行,可以同时执行(例如,在另一步骤的至少一部分期间),以及它们的任何组合方式。供应前体和含氧源气体的相应步骤可通过改变供应它们的持续时间来进行以改变所得介电膜的化学计量组成。所沉积膜的介电常数(k)可在约3.0至约6.0、约3.5至约5.0,和在一些优选情况下,约3.8至约4.2的范围内。
本文所述的在约600℃或更高的一个或多个沉积温度下在衬底上沉积氧化硅膜的方法的一个特定实施方案包括以下步骤:
a.在反应器中提供衬底并将该衬底加热至所需温度;
b.向反应器中引入至少一种具有式I和式II的卤代碳硅烷前体:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
其中X=Cl、Br或I;R和R2各自独立地选自氢原子和C1-C3烷基;R1是与两个硅原子键合的C1连接体,且选自亚甲基、(甲基)亚甲基、(二甲基)亚甲基和(乙基)亚甲基;R3选自氢和C1-C3烷基;n=1、2或3;m=0、1、2或3;p=0、1或2,q=0、1或2,和p+q=2;
c.用吹扫气体吹扫反应器;
d.将含氧源引入反应器中;和
e.用吹扫气体吹扫反应器
其中重复步骤b至e直至沉积所需厚度的氧化硅膜。
本文所述方法的另一个实施方案在将含氧源引入反应器中后引入包含羟基的含氧源(例如,在沉积过程中形成的OH片段),如H2O蒸气或H2O等离子体。在该实施方案中,据信羟基重新填充表面以产生用于锚定在表面上以形成单层的卤代碳硅烷前体的反应性位点。沉积步骤包括:
a.在反应器中提供衬底并将该衬底加热至所需温度;
b.向反应器中引入上述至少一种具有式I或II的卤代碳硅烷;
c.用吹扫气体吹扫反应器;
d.将包含选自水、过氧化氢和包含水的等离子体中的至少一种的含氧源引入反应器中;
e.用吹扫气体吹扫反应器;
f.将含氧源引入反应器中;和
g.用吹扫气体吹扫反应器
其中重复步骤b至g,直至沉积所需厚度的氧化硅膜。
在本文所述方法的替代实施方案中,沉积步骤包括:
a.在反应器中提供衬底并将该衬底加热至所需温度;
b.向反应器中引入本文所述至少一种具有式I或II的卤代碳硅烷前体;
c.用吹扫气体吹扫反应器;
d.将含氧源引入反应器中;
e.用吹扫气体吹扫反应器;
f.将含OH源引入反应器中;和
g.用吹扫气体吹扫反应器,
其中重复步骤b至g直至沉积所需厚度的氧化硅膜。
又一个实施方案使用过氧化氢、臭氧、包含氢和氧的组合物或氧等离子体来去除钝化官能团或基团如甲基或氯。沉积步骤如下:
a.在反应器中提供衬底并将该衬底加热至所需温度;
b.向反应器中引入本文所述至少一种具有式I或II的卤代碳硅烷前体;
c.用吹扫气体吹扫反应器;
d.将包含选自臭氧、过氧化氢、包含氢和氧的组合物和氧等离子体中的至少一种的源引入反应器中;和
e.用吹扫气体吹扫反应器,
其中重复步骤b至e直至沉积所需厚度的氧化硅膜。
在本文所述的方法中,一个或多个沉积温度在以下任一或多个端点的范围内:600、650、675、600、700、725、750、775、800、825、850、875、900、925、950、975或1000℃。在某些实施方案中,至少一个沉积温度在约600℃至约1000℃;或从约600℃至约750℃;或从700℃到850℃;或约750℃至约850℃的范围内。
在整个说明书中,本文中使用的术语“阶梯覆盖率”被定义为在具有通孔或沟槽或两者的结构化或特征化衬底中沉积的含硅膜的两种厚度的百分比,其中底部阶梯覆盖为以下比率(以%计):特征底部处的厚度除以特征顶部处的厚度,和中间阶梯覆盖率为以下比率(以%计):特征侧壁上的厚度除以特征顶部处的厚度。使用本文所述方法沉积的膜呈现约60%或更大、约70%或更大、约80%或更大或约90%或更大的台阶覆盖率,这表明膜是保形的。
在整个说明书中,本文中使用的术语“含羟基源”指具有羟基的含氧源。实例包括但不限于水、水等离子体、包含氢和氧的组合物、包含氢和臭氧的组合物、包含水和氧的组合物、包含水和二氧化碳的组合物、包含水和氧的组合物、包含水和臭氧的组合物、包含水和一氧化二氮的组合物、包含水和一氧化氮的组合物、过氧化氢(H2O2)、由氢和氧生成的等离子体及其组合。
沉积压力为50毫托(mT)至760托或500mT至100托范围的一个或多个压力。
在一个特定实施方案中,本文所述的方法在基本上不含催化剂,例如有机胺(例如,吡啶、三甲胺,参见美国专利第7,084,076号;在此引入作为参考)的情况下进行。在该实施方案或另一实施方案中,在不需要一个或多个退火步骤的情况下进行本文所述的方法。
提供以下实施例是为了说明本发明的某些实施方案,而不应限制所附权利要求的范围。
工作实施例
对比实施例1a:使用四氯化硅的氧化硅膜的原子层沉积
使用四氯化硅(SiCl4)前体进行氧化硅膜的原子层沉积。沉积在实验室规模的ALD处理设备上进行。硅前体通过蒸气抽取输送至反应室。所有气体(例如,吹扫和反应物气体或前体和含氧源)在进入沉积室之前被预热至100℃。气体和前体流速用具有高速致动的ALD隔膜阀控制。沉积中使用的衬底为12英寸长的硅条。热电偶连接到样品架上以确认衬底温度。使用臭氧作为含氧源气体进行沉积。表III提供了沉积参数,其中术语脉冲或进料是可互换的,代表将硅前体或含氧源引入反应器中的步骤。
表III:使用SiCl4的具有氧源的氧化硅膜原子层沉积的方法
Figure BDA0003056358670000151
Figure BDA0003056358670000161
重复步骤b至e直至达到所需厚度。通过将膜的反射数据与预设物理模型(例如洛仑兹振子模型)进行拟合,使用FilmTek 2000SE椭偏仪测量膜的厚度和折射率。使用1%的去离子水中49%氢氟酸(HF)的溶液(约0.5wt.%HF)进行湿蚀刻。对于每一批次使用热氧化物晶片作为参考以确认溶液浓度。对于去离子水中的0.5wt.%HF溶液,典型的热氧化物晶片湿蚀刻速率(WER)为
Figure BDA0003056358670000162
/s。使用蚀刻之前和之后的膜厚度计算湿蚀刻速率。表IV总结了在800℃的晶片温度下使用SiCl4前体的12秒前体暴露及作为含氧源的臭氧沉积的SiO2膜的性质。生长速率或每循环生长(GPC)定义为以埃
Figure BDA0003056358670000163
计的氧化硅的厚度除以循环数。
表IV.用SiCl4和作为氧源的臭氧沉积的氧化硅膜的性质
Figure BDA0003056358670000164
对比实施例1b:在高于600℃的衬底温度下使用六氯二硅氧烷的氧化硅膜的原子层沉积
使用对比实施例1a的表III中所列的步骤用硅六氯二硅氧烷(HCDSO)及作为含氧源气体的臭氧进行氧化硅膜的原子层沉积。表V总结了在700℃到800℃范围的温度下沉积的氧化硅的沉积条件和物理性质,表明在类似的ALD条件下,HCDSO的生长速率远高于SiCl4
表V.用HCDSO和臭氧方法沉积的氧化硅膜的沉积速率和膜性质
Figure BDA0003056358670000165
Figure BDA0003056358670000171
实施例2:在高于600℃的衬底温度下用1,1,1,3,3,3-六氯二硅杂丙烷的氧化硅膜的原子层沉积
使用对比实施例1a的表III中列出的步骤用硅1,1,1,3,3,3-六氯二硅杂丙烷及作为含氧源的臭氧进行氧化硅膜的原子层沉积。表VI总结了在700℃到800℃范围的温度下沉积的氧化硅的沉积条件和物理性质,表明在类似的ALD条件下1,1,1,3,3,3-六氯二硅杂丙烷的生长速率远高于SiCl4和HCDSO。表VI还显示了在各种不同的衬底温度下用1,1,1,3,3,3-六氯二硅杂丙烷和臭氧沉积的氧化硅膜对于热氧化物的相对WER,表明温度越高,WER越低。
表VI.用1,1,1,3,3,3-六氯二硅杂丙烷和臭氧沉积的氧化硅膜的沉积速率和膜性质
Figure BDA0003056358670000172
通过二次离子质谱(SIMS)分析膜杂质,且膜杂质显示于表VII中。该膜显示出低C、N和氯杂质。
表VII.用1,1,1,3,3,3-六氯二硅杂丙烷和臭氧沉积的氧化硅膜的膜杂质
Figure BDA0003056358670000173
Figure BDA0003056358670000181
尽管本发明已经参照某些优选实施方案进行了描述,但是本领域技术人员应当理解,在不脱离本发明范围的情况下,可以进行各种改变,并且可以用等同物替代本发明的元素。另外,在不脱离本发明实质范围的情况下,可以进行许多修改以使特定情况或材料适应本发明的教导。因此,意图的是本发明不限于特定实施方案,而是本发明包括所有落入所附权利要求范围内的实施方案。

Claims (22)

1.一种沉积氧化硅膜的方法,该方法包括以下步骤:
a.在反应器中提供包含表面的衬底,并将所述反应器加热至约600℃至约1000℃范围的温度,
b.向所述反应器中引入至少一种选自具有下式I和II的化合物的卤代碳硅烷前体:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
其中X=Cl、Br或I;R和R2各自独立地选自氢原子和C1-C3烷基;R1是与两个硅原子键合的C1连接体,且选自亚甲基、(甲基)亚甲基、(二甲基)亚甲基和(乙基)亚甲基;R3选自氢和C1-C3烷基;n=1、2或3;m=0、1、2或3;p=0、1或2,q=0、1或2,和p+q=2,其中所述至少一种卤代碳硅烷前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
c.用第一吹扫气体吹扫所述反应器中来自步骤b的任何未消耗的前体和/或反应副产物;
d.向所述反应器中引入含氧源以与所述化学吸附膜反应而形成氧化硅膜;和
e.用与所述第一吹扫气体相同或不同的第二吹扫气体吹扫所述反应器中来自步骤d的任何未消耗的含氧源和/或反应副产物;和
其中重复步骤b至e直至沉积所需厚度的所述氧化硅膜。
2.根据权利要求1所述的方法,其中所述至少一种卤代碳硅烷前体选自:1,1,1,3,3,3-六氯二硅杂丙烷、1,1,1,3,3-五卤代-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3-五卤代-2-甲基-1,3-二硅杂丁烷、2,2,4,4-四氯-2,4-二硅杂戊烷、1,1,3,3-四氯-1,3-二硅杂丙烷、2,4-二氯-2,4-二甲基-2,4-二硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷及其混合物。
3.根据权利要求1所述的方法,其中所述第一和第二吹扫气体各自选自氮气、氦气、氩气及其组合。
4.根据权利要求1所述的方法,其中所述含氧源包含至少一个选自以下的成员:氧、过氧化物、氧等离子体、二氧化碳等离子体、一氧化碳等离子体、包含氢和氧的组合物、包含氢和臭氧的组合物、包含二氧化碳和氧的组合物、包含水和氧的组合物、包含氮和氧的组合物、水蒸气、水蒸气等离子体、包含水和臭氧的组合物、过氧化氢、臭氧源及其组合。
5.一种沉积氧化硅膜的方法,包括以下步骤:
a.在反应器中提供衬底,并将所述反应器加热至约600℃至约1000℃范围的温度;
b.向所述反应器中引入至少一种选自具有下式I和II的化合物的卤代碳硅烷前体:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
其中X=Cl、Br或I;R和R2各自独立地选自氢原子和C1-C3烷基;R1是与两个硅原子键合的C1连接体,且选自亚甲基、(甲基)亚甲基、(二甲基)亚甲基和(乙基)亚甲基;R3选自氢和C1-C3烷基;n=1、2或3;m=0、1、2或3;p=0、1或2,q=0、1或2,和p+q=2,以在所述衬底上形成层;
c.用第一吹扫气体吹扫所述反应器中来自步骤b的任何未消耗的前体和/或反应副产物;
d.向所述反应器中引入含氧源以与所述层反应而形成氧化硅膜;
e.用与所述第一吹扫气体相同或不同的第二吹扫气体吹扫所述反应器中来自步骤d的任何未消耗的含氧源和/或反应副产物;
f.向所述反应器中引入含羟基的源以与所述氧化硅膜反应;
g.用吹扫气体吹扫所述反应器以去除任何未反应的含羟基源和/或任何反应副产物;且
其中重复步骤b至g直至形成所需厚度的所述氧化硅膜。
6.根据权利要求5所述的方法,其中所述至少一种卤代碳硅烷前体选自:1,1,1,3,3,3-六氯二硅杂丙烷、1,1,1,3,3-五卤代-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3-五卤代-2-甲基-1,3-二硅杂丁烷、2,2,4,4-四氯-2,4-二硅杂戊烷、1,1,3,3-四氯-1,3-二硅杂丙烷、2,4-二氯-2,4-二甲基-2,4-二硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷及其混合物。
7.根据权利要求5所述的方法,其中所述第一和第二吹扫气体各自选自氮气、氦气、氩气及其组合。
8.根据权利要求5所述的方法,其中所述含氧源包含至少一个选自以下的成员:氧、过氧化物、氧等离子体、二氧化碳等离子体、一氧化碳等离子体、包含氢和氧的组合物、包含氢和臭氧的组合物、包含二氧化碳和氧的组合物、包含水和氧的组合物、包含氮和氧的组合物、水蒸气、水蒸气等离子体、包含水和臭氧的组合物、过氧化氢、臭氧源及其组合。
9.根据权利要求1所述的方法,其中步骤b进一步包括使所述反应器达到50毫托(mTorr)至760托范围的压力,并且其中所述至少一种卤代碳硅烷前体包含至少一个锚定官能团及包含Si-Me或Si-Cl基团或Si-Me和Si-Cl两者的钝化官能团。
10.根据权利要求9所述的方法,其中所述反应器温度范围为700-850℃。
11.根据权利要求9所述的方法,其中所述反应器压力范围为50毫托(mTorr)至100托。
12.一种用于沉积含硅膜的组合物,其包含至少一种选自具有下式I和II的化合物的卤代碳硅烷前体:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
其中X=Cl、Br或I;R和R2各自独立地选自氢原子和C1-C3烷基;R1是与两个硅原子键合的C1连接体,且选自亚甲基、(甲基)亚甲基、(二甲基)亚甲基和(乙基)亚甲基;R3选自氢和C1-C3烷基;n=1、2或3;m=0、1、2或3;p=0、1或2,q=0、1或2,和p+q=2。
13.根据权利要求12所述的组合物,进一步包含至少一种吹扫气体。
14.根据权利要求12所述的组合物,其中所述至少一种卤代碳硅烷前体选自:1,1,1,3,3,3-六氯二硅杂丙烷、1,1,1,3,3-五卤代-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、2,2,4,4-四氯-2,4-二硅杂戊烷、1,1,3,3-四氯-1,3-二硅杂丙烷、2,4-二氯-2,4-二甲基-2,4-二硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷及其混合物。
15.一种通过根据权利要求1所述的方法形成的氧化硅膜。
16.一种通过根据权利要求5所述的方法形成的氧化硅膜。
17.一种通过根据权利要求1所述的方法形成的氧化硅膜,其具有约2.1g/cm3或更高的密度;相对于热氧化物在0.5wt.%dHF中约4或更低的湿蚀刻速率(WER);和通过SIMS测量的2x1019原子/cm3或更低的碳含量。
18.根据权利要求17所述的氧化硅膜,其具有相对于热氧化物在0.5wt.%dHF中约3或更低的湿蚀刻速率(WER)。
19.根据权利要求17所述的氧化硅膜,其中存在碳,并且其量通过X射线光电子能谱(XPS)测量为小于5at.%。
20.一种通过根据权利要求5所述的方法形成的氧化硅膜,其具有约2.1g/cm3或更高的密度;相对于热氧化物在0.5wt.%dHF中约4或更低的湿蚀刻速率(WER);和通过SIMS测量的2x1019原子/cm3或更低的碳含量。
21.根据权利要求20所述的氧化硅膜,其中存在碳,并且其量通过X射线光电子能谱(XPS)测量为小于5at.%。
22.根据权利要求20所述的氧化硅膜,其中相对于热氧化物在0.5wt.%dHF中的所述湿蚀刻速率(WER)为约3或更低。
CN201980073823.8A 2018-10-05 2019-10-04 含硅膜的高温原子层沉积 Active CN112969817B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202410379141.2A CN118086873A (zh) 2018-10-05 2019-10-04 含硅膜的高温原子层沉积

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862742056P 2018-10-05 2018-10-05
US62/742,056 2018-10-05
US201862743887P 2018-10-10 2018-10-10
US62/743,887 2018-10-10
PCT/US2019/054650 WO2020072874A1 (en) 2018-10-05 2019-10-04 High temperature atomic layer deposition of silicon-containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202410379141.2A Division CN118086873A (zh) 2018-10-05 2019-10-04 含硅膜的高温原子层沉积

Publications (2)

Publication Number Publication Date
CN112969817A true CN112969817A (zh) 2021-06-15
CN112969817B CN112969817B (zh) 2024-04-19

Family

ID=70054903

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202410379141.2A Pending CN118086873A (zh) 2018-10-05 2019-10-04 含硅膜的高温原子层沉积
CN201980073823.8A Active CN112969817B (zh) 2018-10-05 2019-10-04 含硅膜的高温原子层沉积

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202410379141.2A Pending CN118086873A (zh) 2018-10-05 2019-10-04 含硅膜的高温原子层沉积

Country Status (6)

Country Link
US (1) US20210380418A1 (zh)
JP (1) JP7256263B2 (zh)
KR (1) KR20210055101A (zh)
CN (2) CN118086873A (zh)
TW (2) TWI721588B (zh)
WO (1) WO2020072874A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115867689A (zh) * 2020-06-23 2023-03-28 恩特格里斯公司 硅前体化合物及形成含硅膜的方法
WO2023230296A1 (en) * 2022-05-27 2023-11-30 Lam Research Corporation Single wafer reactor, low temperature, thermal silicon nitride deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011123369A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
CN106992114A (zh) * 2016-01-20 2017-07-28 弗萨姆材料美国有限责任公司 含硅薄膜的高温原子层沉积
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
CN107923040A (zh) * 2015-07-31 2018-04-17 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
JP5658118B2 (ja) * 2011-09-29 2015-01-21 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびその形成装置
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
JP6009513B2 (ja) 2014-09-02 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
EP3254303B1 (en) * 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films
KR102658085B1 (ko) 2015-07-09 2024-04-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알킬아미노 치환 할로카보실란 전구체
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011123369A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
CN107923040A (zh) * 2015-07-31 2018-04-17 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法
CN106992114A (zh) * 2016-01-20 2017-07-28 弗萨姆材料美国有限责任公司 含硅薄膜的高温原子层沉积
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films

Also Published As

Publication number Publication date
TWI721588B (zh) 2021-03-11
JP7256263B2 (ja) 2023-04-11
KR20210055101A (ko) 2021-05-14
TWI816086B (zh) 2023-09-21
JP2022504232A (ja) 2022-01-13
TW202018119A (zh) 2020-05-16
CN118086873A (zh) 2024-05-28
CN112969817B (zh) 2024-04-19
US20210380418A1 (en) 2021-12-09
WO2020072874A1 (en) 2020-04-09
TW202134471A (zh) 2021-09-16

Similar Documents

Publication Publication Date Title
CN106992114B (zh) 含硅薄膜的高温原子层沉积
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
EP3460827B1 (en) Compositions and methods using same for carbon doped silicon containing films
EP2857552A2 (en) Methods for depositing silicon nitride films
EP3620549B1 (en) Methods for making silicon and nitrogen containing films
EP3620550B1 (en) Methods for making silicon containing films that have high carbon content
CN112969817B (zh) 含硅膜的高温原子层沉积
CN112805405B (zh) 用于制备含硅和氮的膜的方法
TWI792947B (zh) 熱沉積含矽膜的組合物及方法
JP7472312B2 (ja) ケイ素含有膜を調製するための前駆体及び方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant