CN112703585A - 检测薄膜层中缺陷的方法 - Google Patents

检测薄膜层中缺陷的方法 Download PDF

Info

Publication number
CN112703585A
CN112703585A CN201980055006.XA CN201980055006A CN112703585A CN 112703585 A CN112703585 A CN 112703585A CN 201980055006 A CN201980055006 A CN 201980055006A CN 112703585 A CN112703585 A CN 112703585A
Authority
CN
China
Prior art keywords
defects
detecting
thin film
film layer
image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980055006.XA
Other languages
English (en)
Other versions
CN112703585B (zh
Inventor
A·欧哈拉
D·德赖斯代尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Memsstar Ltd
Original Assignee
Memsstar Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Memsstar Ltd filed Critical Memsstar Ltd
Publication of CN112703585A publication Critical patent/CN112703585A/zh
Application granted granted Critical
Publication of CN112703585B publication Critical patent/CN112703585B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8803Visual inspection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • G01N2021/8427Coatings
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • G01N2021/8438Mutilayers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8887Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges based on image processing techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N2021/9513Liquid crystal panels
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/91Investigating the presence of flaws or contamination using penetration of dyes, e.g. fluorescent ink
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Signal Processing (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Quality & Reliability (AREA)
  • Theoretical Computer Science (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Sampling And Sample Adjustment (AREA)

Abstract

公开了一种检测结构样品中的缺陷的方法,该结构样品包括薄膜层和牺牲层。该方法包括使薄膜层暴露于气相蚀刻剂,获得薄膜层的图像并分析该图像。气相蚀刻剂通过穿过缺陷并在牺牲层内蚀刻出空腔来增强薄膜层中存在的任何缺陷。该空腔使薄膜层凹陷,从而在缺陷周围形成应力区域。最初无法检测到的缺陷在暴露于气相蚀刻剂后可以变为可检测的。气相蚀刻剂具有高度可移动性的优点,使得它可以进入液相蚀刻剂可能无法进入的缺陷。此外,与液相蚀刻剂不同,气相蚀刻剂可以用于非破坏性地检测样品。

Description

检测薄膜层中缺陷的方法
本发明涉及薄膜层加工领域。更具体地,本发明涉及薄膜层中缺陷的检测。
背景技术
薄膜层沉积在基底层上以形成结构。结构可以包括多个层。层本身或与其他层结合可以是功能性的。例如,层可以是导电的或具有期望的机械性能。例如,这样的结构可以用作电气设备、太阳能电池、用于电视或光掩膜的平板屏幕,或形成它们的部分。为了产生可靠的结构,优选确定薄膜层是否无缺陷的方法作为识别结构中故障的手段。薄膜层中缺陷的一个实例是针孔。人眼甚至显微镜都不能观察到针孔,但是能够通过额外的处理和分析以及计量学来提高针孔的可见度。这些针孔的直径通常小于1微米,并且直径可以为纳米级。
如果给定结构中存在针孔,则会导致故障。在电视机内使用的平板屏幕的实例中,如果发现针孔缺陷,则会导致最终产品出现故障,其具有坏死的图像区域,当显示图像时,坏死的图像区域在屏幕上显示为黑点。通过早期确定缺陷的存在,制造商能够将该平板从生产中移除,从而减少潜在的现场故障次数和制造成本。类似地,在太阳能电池中,缺陷的早期识别使得具有高故障可能性的电池能够从进一步的生产步骤中移除,由此使浪费的成本最小化。由于故障区域不吸收阳光,这些故障会导致太阳能到电能的能量转换显著下降。就电气设备而言,由于制造的本质是多个设备能够在单个工艺流程中制造,因此识别缺陷同样使得具有高故障可能性的电气设备能够从最终的制造阶段中移除并取出故障的设备。这些故障方法多种多样,但是它们的存在能够导致大量的收益损失。
针孔的主要问题在于,所需的最小可检测针孔尺寸不断减小,而当前的检测方法开始达到了极限。当前的检测方法通常利用蚀刻溶液,其中将结构的测试样品浸入(蚀刻溶液中)。许多蚀刻方案中的一种方法是使用氢氟酸来增强沉积在基底上的薄膜中的缺陷。然后,蚀刻溶液会突出显示样品上设备已发生故障的区域。当前的检测方法的主要问题是总体暴露于这种蚀刻溶液(通常是酸形式),这可能会导致对同一测试样品上他处原本可行设备的损坏。针孔检测工艺依赖于湿蚀刻剂具有足够的移动性,以使蚀刻剂可以流过针孔并引起下层的局部区域发生变化,以指示缺陷的位置。实际上,最小可检测针孔尺寸受湿蚀刻剂移动性的限制,并且该最小可检测针孔尺寸可能不足以检测薄膜层中引起故障的所有潜在缺陷。此外,随着电子设备尺寸的不断缩小,能够导致设备故障的针孔缺陷也变得越来越小,同时需要在电子设备的制造中增加公差。
这也意味着每种类型的产品或设备都有其自身的“临界针孔”阈值,该阈值被认为足够大至出现高故障可能性。在缺陷检测中使用的现有湿蚀刻工艺不允许定制或控制工艺。此外,由于现有湿蚀刻工艺的破坏性,只能使用一种方法对测试样品进行测试。
本发明的一个方面的目的是提供一种检测薄膜层中缺陷的方法,该方法消除了或至少减轻了本领域已知方法的一个或多个上述缺点。
发明内容
根据本发明的第一方面,提供了一种检测包括薄膜层和牺牲层的结构样品中一个或多个缺陷的方法,所述方法包括:
·使所述薄膜层暴露于气相蚀刻剂;
·获得所述薄膜层的图像;以及
·分析所述图像。
有利地,与液相蚀刻剂相比,气相蚀刻剂具有高移动性。这样,气相蚀刻剂可以进入薄膜层中更小的缺陷。当存在这样的缺陷时,所述气相蚀刻剂蚀刻相邻的牺牲层的局部区域,从而在所述薄膜层中产生应力区域。
优选地,使所述薄膜层暴露于气相蚀刻剂增强了所述薄膜层中存在的任何缺陷。
优选地,使所述薄膜层暴露于气相蚀刻剂,以在所述牺牲层中产生位于薄膜层缺陷下方的空腔。
优选地,使所述薄膜层暴露于气相蚀刻剂在所述薄膜层的缺陷周围产生应力区域。
优选地,所述方法还包括优化一个或多个测试参数。
能够优化测试参数的优点在于,当使所述薄膜层暴露于气相蚀刻剂时,可以用来定义可以检测到的缺陷尺寸。
优选地,所述一个或多个测试参数包括以下之一或任意组合:温度,压力;蚀刻剂蒸气密度;所述牺牲层的材料组成;暴露于所述气相蚀刻剂的持续时间;所述薄膜层图像的分辨率;以及在需要情况下的工艺催化剂,由此产生的催化剂蒸气密度和催化剂种类的附加参数。
最优选地,所述气相蚀刻剂蚀刻所述牺牲层而不蚀刻所述薄膜层。因此,该方法可以包括选择或提供蚀刻所述牺牲层而不蚀刻所述薄膜层的气相蚀刻剂。
优选地,所述气相蚀刻剂包括氟化氢。所述牺牲层可以包括二氧化硅。优选地,测试室内的压力通常为真空(0Pa)至大气压(约100,000Pa),并且最优选地为约67Pa至6,700Pa(约0.5至50Torr)。优选地,测试室内的温度通常为约5摄氏度至100摄氏度,并且最优选地为约5摄氏度至45摄氏度。任选地,水或醇可以是催化剂。
或者,所述气相蚀刻剂可以包含二氟化氙。所述牺牲层可以包括锗、钼和/或硅类材料,例如单晶硅、多晶硅和/或非晶硅。优选地,测试室内的压力为约67Pa至6,700Pa(约0.5至50Torr)。优选地,测试室的温度为约15摄氏度至100摄氏度。
优选地,获得所述薄膜层的图像包括获得所述薄膜层的第一表面的图像。该第一表面优选地与所述牺牲层相对。
优选地,获得所述薄膜层的图像包括利用成像仪器,例如:光学显微镜;扫描电子显微镜;和/或原子力显微镜。
最优选地,成像仪器的仪器分辨率作为因素被纳入所述一个或多个测试参数的优化中。
优选地,分析所述图像包括识别所述薄膜层的所述第一表面的图像中的任何缺陷。
最优选地,分析所述图像包括识别所述薄膜层的所述第一表面的图像中的任何应力区域。
任选地,分析所述图像还可以包括量化任何缺陷的尺寸和/或任何应力区域的尺寸。
最优选地,分析所述图像还包括确定缺陷的尺寸和/或应力区域的尺寸是否等于或超过临界尺寸或阈值尺寸;或小于临界尺寸或阈值尺寸。
任选地,分析所述图像可以通过计算机程序来执行。
任选地,分析所述图像还可以包括执行图像增强技术。
任选地,分析所述图像可以是自动化的。
任选地,该方法包括获得薄膜层的两个或更多个图像。
可以使用相同成像仪器或替代地使用两种或更多种成像仪器来获得每个图像,所述成像仪器可以具有不同的质量、分辨率和/或类型。
任选地,该方法包括获得薄膜层暴露于所述气相蚀刻剂之前的一个或多个图像,以及暴露于所述气相蚀刻剂之后的一个或多个图像。
任选地,该方法还包括分析并比较薄膜层的多个图像。利用由一种或多种不同质量、分辨率和/或类型的成像仪器获得的多个图像,通过使组合的测量不确定性最小化可以提高缺陷识别的准确性,并且可以受益于不同成像仪器的不同动态范围。相比检查获得的暴露于气相蚀刻剂之后的单个图像,比较和识别获得的暴露于气相蚀刻剂之前和之后的图像之间的差异可以更有效地识别缺陷。这种分析可以是自动化的,或是通过算法来执行,例如通过软件。
最优选地,图像的分析方法作为因素被纳入一个或多个测试参数的优化中。
根据本发明的第二方面,提供了根据第一方面的方法用于检测缺陷的测试系统,该测试系统包括气相蚀刻剂输送系统和适于或配置为接收结构样品的测试室。
优选地,所述气相蚀刻剂输送系统包括一个或多个质量流量控制器和/或液体流量计。质量流量控制器和液体流量计控制通过测试室的气相蚀刻剂、催化剂和/或载气的量。优选地,测试系统包括经由测试室与气相蚀刻剂输送系统流体连通的泵。
优选地,气相蚀刻剂输送系统包括以下之一或任意组合:氟化氢源、二氟化氙源、氮源和催化剂源。
优选地,测试室包括基座。结构样品可以位于基座上。
优选地,测试室包括一个或多个温度受控的加热盒。一个或多个温度受控的加热盒可以主动地控制并维持室内的温度。
优选地,基座包括基座温度控制器。基座温度控制器可以主动地控制和维持基座的温度。
优选地,测试室包括压力计。泵、连接到泵的节流阀、质量流量控制器和压力计的组合可以控制测试室内的压力和/或气相蚀刻剂密度。
本发明第二方面的实施方案可以包括用于实现本发明第一方面的优选或任选特征的特征,反之亦然。
根据本发明的第三方面,提供了用于制造结构样品的质量控制方法,该方法包括根据第一方面的方法检测样品中的缺陷,并相应地丢弃或不丢弃所述样品。
丢弃或不丢弃所述样品可以包括:
·如果缺陷的尺寸和/或应力区域的尺寸等于或超过临界尺寸或阈值尺寸,则丢弃结构样品;和/或
·如果缺陷的尺寸和/或应力区域的尺寸小于临界尺寸或阈值尺寸,则不丢弃结构样品。
本发明的第三方面的实施方案可以包括用于实现本发明的第一方面和/或第二方面的优选或任选特征的特征,反之亦然。
根据本发明的第四方面,提供了一种适于根据第一方面的方法检测结构样品中的缺陷的气相蚀刻系统。
本发明的第四方面的实施方案可以包括用于实现本发明的第一方面、第二方面和/或第三方面的优选或任选特征的特征,反之亦然。
附图说明
现在仅通过举例的方式,参考附图描述本发明的各种实施方案,其中:
图1示出了根据本发明一个实施方案的测试系统的示意图,其中蚀刻剂是氟化氢;
图2表示根据本发明的另一实施方案的测试系统的示意图,其中蚀刻剂为二氟化氙;
图3示出了结构样品暴露于气相蚀刻剂之前的示意性横截面图;
图4示出了结构样品暴露于气相蚀刻剂之后的示意性横截面图;
图5是薄膜层样品暴露于气相蚀刻剂之前的SEM图像;
图6是薄膜层暴露于气相蚀刻剂后的SEM图像;和
图7是薄膜层暴露于气相蚀刻剂之后的SEM图像,其放大率高于图6的SEM图像。
在下面的描述中,在整个说明书和附图中,用相同的附图标记来标记相似的部分。附图不一定按比例绘制,并且已经放大某些部分的比例以更好地示出本发明的实施方案的细节和特征。
具体实施方式
现在将参照附图1-7描述本发明的示例。
根据第一实施方案,图1示出了基于氟化氢(HF)蒸气的测试系统1,其包括经由测试室4流体连通的气体输送系统2a和真空泵3。
气体输送系统2a包括HF蒸气源5、采取水源或醇源形式的催化剂源6、氮气源7和蒸发器8。通过使催化剂源6连接到蒸发器8来产生用于测试室4内的催化剂蒸气。氮气源7是蒸发器8的标准元素,并作为载气协助将催化剂蒸气输送到测试室4。使用时,催化剂蒸气在HF蒸气蚀刻过程中使用。优选在气体输送系统2a的每条供应管线内设置质量流量控制器或液体流量计(MFC/LFM)9和气动阀10,以调节HF蒸气、催化剂(水或醇)蒸气和氮气流向测试室的气流和方向。气体输送系统2a连接至喷头11,该喷头11在测试室4内分配HF蒸气、催化剂(水或醇)蒸气和氮气。
使用压力计(baratron)12来监测测试室4内的压力。可以例如通过调节泵控制阀13来控制真空泵3的泵送速率和MFC/LFM 9的流速,以维持测试室4内的设定工作压力。
测试室4的温度由四个温度受控的加热盒14控制。这些加热盒14具有内置热电偶,由外室温度控制单元15控制。
该测试室4还包括基座16,待测试的结构样品17被放置于该基座上。基座16的温度由基座温度控制器18控制。基座温度控制器18提供一种用于设置和维持基座16的工作温度的装置。更具体地,基座温度控制器18可以采取热交换器形式,所述热交换器通过隔热管道连接至基座。隔热管道包含去离子水和乙二醇的50:50混合物。热交换器位于测试室4的外部,并具有内部热电偶,该热电偶随后控制其输出的液体的温度。其然后冷却(或加热)基座16。
根据第二实施方案,图2描绘了基于二氟化氙(XeF2)蒸气的测试系统19。此基于XeF2蒸气的测试系统19包括与图1所示的基于HF蒸气的测试系统1相同或等效的特征。与基于HF蒸气的测试系统1相比,基于XeF2蒸气的测试系统19包括不同的气体传输系统2b。气体输送系统2b包括XeF2起泡器20和氮气源7。XeF2起泡器20包含XeF2晶体。随着氮气经过XeF2晶体时,XeF2升华并由氮气带入测试室4。MFC9控制:向XeF2起泡器20供应氮气;向测试室4供应氮气;以及将带有XeF2蒸气的氮气供应到测试室4。如上,可以例如通过调节泵控制阀13来控制真空泵3和/或MFC 9的泵送速率,以维持测试室4内的设定工作压力。如上所述,其他特征和能力如关于第一实施方案所描述。
应当注意,测试系统1和19分别具有基于HF和XeF2蒸气的蚀刻系统的共同特征;实际上,可以设想,可以使这样的系统(以及实际上其他功能上等效的蚀刻系统)适于、配置为或以其他方式用于实施本发明的方法的测试系统。
图3示出了样品结构17的横截面示意图,该样品结构17包括沉积在牺牲层或基底22上的薄膜层21,该薄膜层21是目标层。该薄膜层21包括针孔缺陷23,并且该针孔缺陷23从第一表面24穿过薄膜层21延伸至牺牲层22。
根据本发明,为了检测薄膜层17中针孔缺陷19的存在,例如通过将结构样品17放置在测试系统1或19或实际上如上所述的适当的或功能等效的测试室4中的基座12上,使薄膜层17暴露于气相蚀刻剂中。
技术人员会理解,如果样品结构17包括SiO2牺牲层22,则适合采用HF蒸气相蚀刻剂,例如使用如上述的基于HF蒸气的测试系统1。
当操作基于HF蒸汽的测试系统1时,典型但非限制性的测试参数可以如下:测试室4内的压力可以为真空(0Pa)至大气压,约67Pa至6,700Pa(约0.5Torr至50Torr);测试室4内的温度可以为约5摄氏度至100摄氏度,并且更具体地,为约5摄氏度至45摄氏度;以及(任选的)催化剂可以是水或醇。作为基于HF蒸气的测试系统1的气体输送系统2a的替代方法,可以通过将结构样品17悬浮在HF液体浴上并利用天然蒸气来执行暴露于HF气相蚀刻剂的等效方法。
同样,技术人员会理解,如果样品结构17的牺牲层22包括硅型材料,例如单晶硅、多晶硅和/或非晶硅,则适于使用XeF2蒸气蚀刻剂,例如使用上述基于XeF2蒸气的测试系统19。如果牺牲层22包括锗或钼,则这种蚀刻剂也会是合适的。
当操作基于XeF2蒸气的测试系统19时,典型但非限制性的测试参数可以如下:测试室4内的压力可以为约67Pa至6,700Pa(约0.5至50Torr);测试室4的温度可以为约15摄氏度至45摄氏度;注意不需要催化剂。
如上所述,设想可以使用任何气相蚀刻剂来实施本发明,只要选择气相蚀刻剂来蚀刻牺牲层19而不是薄膜层21即可。
图4示出了样品结构17暴露于气相蚀刻剂之后的横截面示意图,清楚地突出显示了本发明作为检测缺陷的方法的有效性。气相蚀刻剂(例如,HF、XeF2)相比液相蚀刻剂具有更显著的移动性,并且申请人发现,这种增加的移动性使得该蚀刻剂相比液体蚀刻剂能够进入较小的缺陷区域,例如图3所示的针孔缺陷23。气相蚀刻剂可通过针孔缺陷23进入牺牲层22的局部区域。这样,如图4所示,气相蚀刻剂在针孔缺陷23正下方的牺牲层22中蚀刻出空腔25。
如果空腔的直径大于针孔缺陷23的直径,空腔25会使围绕针孔缺陷23的薄膜层21区域凹陷(undercut)。该薄膜层21区域塌陷到空腔25中,从而在空腔25正上方的薄膜层21的第一表面24中产生应力区域26。虽然针孔缺陷23本身可能是不可检测的,但应力区域26通常可以使用例如光学显微镜计量法(即,通过光学检查可以是可检测的)、扫描电子显微镜(SEM)、或甚至原子力显微镜(AFM)进行检测。
图5显示了暴露于气相蚀刻剂之前的薄膜层21的第一表面24的1.28毫米宽的区域的扫描电子显微镜图像27。该图像27未显示任何可见的针孔缺陷。图像27的放大倍率为160倍。
图6示出了暴露于根据本发明的气相蚀刻剂之后的薄膜层21的第一表面24的1.30mm平方区域的扫描电子显微镜图像28。该图像28显示了在5至20μm的区域具有增强且目前可视直径的许多针孔缺陷23。图像28的放大倍率为163倍。
图7示出了暴露于根据本发明的气相蚀刻剂(在该情况下为HF)之后的薄膜层21的0.391mm宽的区域的扫描电子显微镜图像29。该图像29显示了被应力区域26包围的针孔缺陷23;应力区域直径(可以称为针孔缺陷的增强直径)大约是针孔直径的10倍,这比其他情况要容易检测得多。图像29的放大倍率为710倍。
如图6所示,结构样品17可以包括不同直径的针孔缺陷23。临界针孔直径可以用于量化阈值,大于该阈值会损害结构样品的可行性。换句话说,直径大于临界针孔直径的针孔缺陷会导致结构样品在随后的制造阶段或在最终结构的操作过程中出现故障。相反,低于临界针孔直径的针孔缺陷将不会导致结构样品的故障。
然而,临界针孔尺寸没有绝对值,因为该参数取决于诸如结构样品的预期应用、薄膜层的组成和制造工艺等因素。正如上面背景技术所述的,随着设备变得越来越小,越来越复杂,临界针孔尺寸正在减小。
例如,对于一些应用,检测直径为50μm或更大的相对较大的针孔缺陷对于制造过程会很重要。值得注意的是,在不暴露于气相蚀刻剂的情况下,这些相对较大的针孔缺陷可能是可检测的(即可视的)。在此实例中,结构样品可能仍包含直径小于50μm的针孔缺陷,但这些缺陷23可能不会被认为对结构样品的故障起重要作用,因此会被认为在制造公差范围内。在这种情况下,目视检查(使用显微镜或照相机)会足以确定样品是否通过。
在其他应用中,检测数十微米或更小数量级以及在某些应用中甚至是亚微米数量级的尺寸或直径的针孔缺陷会很重要。这些针孔缺陷通常在没有增强工艺的情况下是不可检测的,因此本发明使得能够确定它们的位置。
可以通过调整参数来优化或定制测试过程,这些参数包括:测试室4温度或基座温度、测试室4压力、泵速、测试室4中蚀刻剂的蒸气密度、工艺催化剂(如果需要)以及由此产生的催化剂蒸气密度和催化剂种类的其他参数、牺牲层的材料组成、和/或暴露于气相蚀刻剂的持续时间。例如,测试过程可以适于通过增加暴露于气相蚀刻剂的持续时间以增加由此产生的空腔尺寸(深度和直径),继而增加了薄膜层21上应力区域26的对比度(contrast)或直径(或增强的针孔直径),来强调并由此检测相对较小的针孔缺陷(或使得相对较小的针孔缺陷可检测)。
因此,设想测试过程可以包括优化或调整测试参数,以便可检测地强调仅大于临界尺寸的缺陷。换句话说,总结上述内容,可以优化或调整测试参数,以使在随后的成像、检查或分析过程中无法检测到低于临界尺寸的缺陷(可能是因为没有牺牲层凹陷蚀刻或没有明显的牺牲层凹陷蚀刻)。
优化测试系统的测试参数时要考虑的另一个因素是,检测针孔缺陷的能力取决于成像仪器(和/或方法)。例如,成像仪器的分辨率会因仪器的质量或甚至成像技术的类型而异。因此,如果使用相对高分辨率的光学显微镜仪器拍摄薄膜层的图像,则气相蚀刻剂可能不必如分辨率较低的仪器那样需要显著增强或强调针孔缺陷以使其是可检测的。
在优化测试系统的测试参数时要考虑的另一个附加因素是,对成像仪器拍摄的图像进行更高级的分析可以最小化针孔缺陷以使其是可检测的所需的增强。
样品暴露于气相蚀刻剂之后的分析可以包括例如目视检测。例如,可以在光学显微镜或扫描电子显微镜或原子力显微镜下,甚至在没有成像仪器的情况下,观察薄膜层以从视觉上识别缺陷和/或应力区域。这可能会涉及某种程度的图像增强,以扩大这样获得的图像中的缺陷。替代地,可以使用诸如缺陷检测算法的软件来识别应力区域。当使用软件时,分析过程可以自动化。
一种替代或补充的分析方法可以是获得样品暴露于气相蚀刻剂之前的图像,并且获得样品暴露于气相蚀刻剂之后的图像,并且在视觉上或算法上比较这些图像。相比仅简单地检查样品暴露于气相蚀刻剂后的单个图像,识别这些图像之间的差异可以更容易识别缺陷。还设想可以获得暴露于气相蚀刻剂期间的一系列图像,以监测任何应力区域的发展。
另一替代或补充的分析方法可以是使用不同的成像仪器来获得薄膜层的多个图像。成像仪器的质量以及甚至成像技术可有所不同。多个图像的比较可以比仅考虑一个图像更有效地识别缺陷。此外,该分析方法可以受益于利用具有不同动态范围的不同成像仪器。例如,扫描电子显微镜会比光学显微镜能够更好地分辨亚微米缺陷。另一方面,与扫描电子显微镜相比,光学显微镜可以更快地成像宏观缺陷。
为了避免疑问,本发明适用于检测除针孔缺陷以外的缺陷,这些针孔缺陷只是结构样品中可能存在的各种缺陷的一个实例。因此,在针孔缺陷背景下描述特征或益处的情况下,这些特征或益处会被理解为适用于并适于其他种类的缺陷,例如裂缝或空腔等。
申请人已经意识到,利用气相蚀刻剂来检测诸如针孔缺陷之类的缺陷具有许多优点。首先,与本领域目前可能使用的已知方法相比,气相蚀刻剂的高度移动性的气体分子可以到达明显更小的缺陷。第二,气相蚀刻剂更具选择性,因为仅蚀刻缺陷下方的牺牲层。薄膜层和牺牲层的未暴露区域不被损坏。这样,当薄膜层内没有缺陷或至少没有超过临界或阈值缺陷尺寸的缺陷时,暴露于气相蚀刻剂是非破坏性的。可以移除未通过缺陷分析的结构样品,而通过缺陷分析的样品仍适合目标用途,进而提高设备的产量和吞吐量,同时减少废品。另一个优点是使用气相蚀刻剂的灵活性和适用性。可以更改测试参数,以便可以检测尺寸不同的缺陷。可以纳入优化测试参数的因素包括:结构样品的应用、薄膜层的材料组成、制造工艺、以及用于检测缺陷的成像技术和/或仪器。
如以上发明背景所述,现有的测试方法是破坏性的,而本发明的测试方法是非破坏性的,只要所测试的样品中没有超过临界缺陷尺寸的缺陷即可。
公开了一种检测结构样品中的缺陷的方法,该结构样品包括薄膜层和牺牲层。该方法包括将薄膜层暴露于气相蚀刻剂,获得薄膜层的图像并分析该图像。气相蚀刻剂通过穿过缺陷并在牺牲层内蚀刻出空腔来增强存在于薄膜层中的任何缺陷。空腔使薄膜层凹陷,从而在缺陷周围形成应力区域。最初无法检测到的缺陷在暴露于气相蚀刻剂后可以变为可检测的。气相蚀刻剂具有高度移动性的优点,使得它可以进入液相蚀刻剂可能无法进入的缺陷。此外,与液相蚀刻剂不同,气相蚀刻剂可以用于非破坏性地检测样品。
在整个说明书中,除非上下文另有要求,否则术语“包括”或“包含”、或其语法变体将被理解为隐含包含所述整数或整数组,但不排除其他任何整数或整数组。此外,除非上下文另有要求,否则术语“或”会被理解为具有包括性而非排他性。
已经出于说明和描述的目的给出了本发明的前述描述,并且无意于穷举本发明或将本发明限制为所公开的精确形式。选择并描述所描述的实施方案是为了最好地解释本发明的原理及其实际应用,从而使本领域的其他技术人员能够在各种实施方案中以适合预期的具体用途的各种修改来最好地利用本发明。因此,可以在不偏离随附权利要求书所定义的发明范围的情况下进行进一步的修改或者改进。

Claims (31)

1.一种检测结构样品中的一个或多个缺陷的方法,所述结构样品包括薄膜层和牺牲层,所述方法包括:
·使所述薄膜层暴露于气相蚀刻剂,以在所述薄膜层中的缺陷下方的所述牺牲层中形成空腔;
·获得所述薄膜层的图像;以及
·分析所述图像。
2.根据权利要求1所述的检测结构样品中的一个或多个缺陷的方法,其中,使所述薄膜层暴露于气相蚀刻剂增强所述薄膜层中存在的任何缺陷。
3.根据权利要求1或权利要求2所述的检测结构样品中的一个或多个缺陷的方法,其中,选择所述气相蚀刻剂以蚀刻所述牺牲层但不蚀刻所述薄膜层。
4.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,使所述薄膜层暴露于气相蚀刻剂在所述薄膜层中的所述缺陷周围形成应力区域。
5.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,所述方法还包括优化一个或多个测试参数。
6.根据权利要求5所述的检测结构样品中的一个或多个缺陷的方法,其中,所述一个或多个测试参数包括以下之一或任意组合:温度,压力;蚀刻剂蒸气密度;所述牺牲层的材料组成;暴露于所述气相蚀刻剂的持续时间;所述薄膜层图像的分辨率;以及在需要情况下的工艺催化剂,由此产生的催化剂蒸气密度和催化剂种类的附加参数。
7.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,所述气相蚀刻剂包括氟化氢,并且所述牺牲层包括二氧化硅。
8.根据权利要求7所述的检测结构样品中的一个或多个缺陷的方法,其中,测试室内的压力为真空0Pa至大气压约100,000Pa,并且任选地为约67Pa至6,700Pa(约0.5至50Torr)。
9.根据权利要求7或8中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,所述测试室内的温度为约5摄氏度至100摄氏度,并且任选地为约5摄氏度至45摄氏度。
10.根据权利要求7-9中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,水或醇是催化剂。
11.根据权利要求1-6中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,所述气相蚀刻剂包括二氟化氙,并且所述牺牲层包括锗、钼和/或硅类材料例如单晶硅、多晶硅和/或非晶硅。
12.根据权利要求11所述的检测结构样品中的一个或多个缺陷的方法,其中,测试室内的压力为约67Pa至6,700Pa(约0.5至50Torr)。
13.根据权利要求11或12中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,所述测试室内的温度为约15摄氏度至100摄氏度。
14.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,获得所述薄膜层的图像包括获得所述薄膜层的第一表面的图像。
15.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,获得所述薄膜层的图像包括利用成像仪器,例如:光学显微镜;扫描电子显微镜;和/或原子力显微镜。
16.根据权利要求15所述的检测结构样品中的一个或多个缺陷的方法,其中,所述成像仪器的仪器分辨率作为因素被纳入所述一个或多个测试参数的优化中。
17.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,分析所述图像包括识别所述薄膜层的所述第一表面的图像中的任何缺陷。
18.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,分析所述图像包括识别所述薄膜层的所述第一表面的图像中的任何应力区域。
19.根据权利要求17或18中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,分析所述图像还可以包括量化任何缺陷的尺寸和/或任何应力区域的尺寸。
20.根据权利要求19中所述的检测结构样品中的一个或多个缺陷的方法,其中,分析所述图像还包括确定缺陷的尺寸和/或应力区域的尺寸是否等于或超过临界尺寸或阈值尺寸;或小于临界尺寸或阈值尺寸。
21.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,分析所述图像可以通过计算机程序来执行。
22.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,分析所述图像还可以包括执行图像增强技术。
23.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,分析所述图像是自动化的。
24.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,所述方法包括获得所述薄膜层的两个或更多个图像。
25.根据权利要求24所述的检测结构样品中的一个或多个缺陷的方法,其中,可以使用相同成像仪器或替代地使用两种或更多种成像仪器来获得每个图像,所述成像仪器可以具有不同的质量、分辨率和/或类型。
26.根据权利要求24或25中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,所述方法包括获得所述薄膜层暴露于所述气相蚀刻剂之前的一个或多个图像以及暴露于所述气相蚀刻剂之后的一个或多个图像。
27.根据权利要求24-26中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,所述方法还包括分析并比较所述薄膜层的多个图像。
28.根据前述权利要求中任一项所述的检测结构样品中的一个或多个缺陷的方法,其中,所述一个或多个图像的分析结果作为因素被纳入所述一个或多个测试参数的优化中。
29.用于根据权利要求1-28中任一项所述的方法检测一个或多个缺陷的测试系统,所述测试系统包括气相蚀刻剂输送系统和适于或配置为接收结构样品的测试室。
30.用于结构样品制造的质量控制方法,所述方法包括根据权利要求1-28中任一项所述的方法检测结构样品中的一个或多个缺陷,并相应地丢弃或不丢弃所述结构样品。
31.根据权利要求30所述的用于结构样品制造的质量控制方法,其中,丢弃或不丢弃所述样品可以包括:
·如果缺陷的尺寸和/或应力区域的尺寸等于或超过临界尺寸或阈值尺寸,则丢弃所述结构样品;和/或
·如果缺陷的尺寸和/或应力区域的尺寸小于临界尺寸或阈值尺寸,则不丢弃所述结构样品。
CN201980055006.XA 2018-09-07 2019-08-29 检测薄膜层中缺陷的方法 Active CN112703585B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB1814619.1 2018-09-07
GB1814619.1A GB2580858B (en) 2018-09-07 2018-09-07 A method for detecting defects in thin film layers
PCT/GB2019/052412 WO2020049273A1 (en) 2018-09-07 2019-08-29 A method for detecting defects in thin film layers

Publications (2)

Publication Number Publication Date
CN112703585A true CN112703585A (zh) 2021-04-23
CN112703585B CN112703585B (zh) 2024-04-02

Family

ID=63921329

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980055006.XA Active CN112703585B (zh) 2018-09-07 2019-08-29 检测薄膜层中缺陷的方法

Country Status (7)

Country Link
US (1) US11740185B2 (zh)
EP (1) EP3847686A1 (zh)
JP (1) JP7442839B2 (zh)
KR (1) KR20210053984A (zh)
CN (1) CN112703585B (zh)
GB (1) GB2580858B (zh)
WO (1) WO2020049273A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113358536A (zh) * 2021-06-02 2021-09-07 吉林大学 一种封装薄膜制备仪器环境中的灰尘颗粒检测方法
CN117655209A (zh) * 2024-01-31 2024-03-08 成都工业职业技术学院 一种金属板材切割装置及其切割预警方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10444161B2 (en) * 2017-04-05 2019-10-15 Kla-Tencor Corporation Systems and methods for metrology with layer-specific illumination spectra

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07283282A (ja) * 1994-04-08 1995-10-27 Sony Corp 絶縁膜の欠陥検出方法
US20080129988A1 (en) * 2006-12-05 2008-06-05 Jason Saito Methods and systems for identifying defect types on a wafer
US20110151592A1 (en) * 2009-12-23 2011-06-23 Memc Electronic Materials, Inc. Methods for monitoring the amount of contamination imparted into semiconductor wafers during wafer processing
US20140017901A1 (en) * 2011-01-24 2014-01-16 Memsstar Limited Vapour etch of silicon dioxide with improved selectivity
US20160209681A1 (en) * 2015-01-16 2016-07-21 Qualcomm Mems Technologies, Inc. Device encapsulation using a dummy cavity
CN106373877A (zh) * 2015-07-23 2017-02-01 Spts科技有限公司 干法气相化学蚀刻结构的方法和装置
CN108039338A (zh) * 2017-11-24 2018-05-15 华中科技大学 一种消除介质层针孔缺陷影响的方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100503513B1 (ko) 2003-01-08 2005-07-26 삼성전자주식회사 웨이퍼의 불량검출 장치 및 방법
JP2005056883A (ja) * 2003-08-04 2005-03-03 Sumitomo Mitsubishi Silicon Corp Soiウェーハの検査方法及びsoiウェーハの製造方法
FR2867309B1 (fr) * 2004-03-02 2006-06-23 Commissariat Energie Atomique Procede de revelation des dislocations emergentes dans un materiau cristallin
JP4784420B2 (ja) * 2005-11-30 2011-10-05 株式会社Sumco 半導体基板の品質評価方法、半導体基板の製造方法
KR100712721B1 (ko) * 2005-12-29 2007-05-04 주식회사 실트론 반도체 에피층의 인-시츄 결함 평가 방법
US7517706B2 (en) * 2006-07-21 2009-04-14 Sumco Corporation Method for evaluating quality of semiconductor substrate and method for manufacturing semiconductor substrate
US10173894B2 (en) * 2009-09-25 2019-01-08 Memsstar Limited Selectivity in a xenon difluoride etch process
GB2473851C (en) * 2009-09-25 2013-08-21 Memsstar Ltd Improved selectivity in a xenon difluoride etch process
US9343379B2 (en) * 2011-10-14 2016-05-17 Sunedison Semiconductor Limited Method to delineate crystal related defects
US9586473B2 (en) 2013-07-15 2017-03-07 Deere & Company Vehicle with selectively reversible cooling fan

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07283282A (ja) * 1994-04-08 1995-10-27 Sony Corp 絶縁膜の欠陥検出方法
US20080129988A1 (en) * 2006-12-05 2008-06-05 Jason Saito Methods and systems for identifying defect types on a wafer
US20110151592A1 (en) * 2009-12-23 2011-06-23 Memc Electronic Materials, Inc. Methods for monitoring the amount of contamination imparted into semiconductor wafers during wafer processing
US20140017901A1 (en) * 2011-01-24 2014-01-16 Memsstar Limited Vapour etch of silicon dioxide with improved selectivity
US20160209681A1 (en) * 2015-01-16 2016-07-21 Qualcomm Mems Technologies, Inc. Device encapsulation using a dummy cavity
CN106373877A (zh) * 2015-07-23 2017-02-01 Spts科技有限公司 干法气相化学蚀刻结构的方法和装置
CN108039338A (zh) * 2017-11-24 2018-05-15 华中科技大学 一种消除介质层针孔缺陷影响的方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113358536A (zh) * 2021-06-02 2021-09-07 吉林大学 一种封装薄膜制备仪器环境中的灰尘颗粒检测方法
CN117655209A (zh) * 2024-01-31 2024-03-08 成都工业职业技术学院 一种金属板材切割装置及其切割预警方法
CN117655209B (zh) * 2024-01-31 2024-04-16 成都工业职业技术学院 一种金属板材切割装置及其切割预警方法

Also Published As

Publication number Publication date
EP3847686A1 (en) 2021-07-14
CN112703585B (zh) 2024-04-02
WO2020049273A1 (en) 2020-03-12
GB2580858B (en) 2021-07-21
KR20210053984A (ko) 2021-05-12
GB201814619D0 (en) 2018-10-24
GB2580858A (en) 2020-08-05
JP7442839B2 (ja) 2024-03-05
JP2022534633A (ja) 2022-08-03
US20210341393A1 (en) 2021-11-04
US11740185B2 (en) 2023-08-29

Similar Documents

Publication Publication Date Title
CN112703585B (zh) 检测薄膜层中缺陷的方法
Song et al. Residual stress measurement in thin films at sub-micron scale using Focused Ion Beam milling and imaging
TW200813418A (en) Method of fabricating sample membrane for transmission electron microscopy analysis
Xia et al. Rapid fabrication of solid-state nanopores with high reproducibility over a large area using a helium ion microscope
TW461001B (en) In-situ method for preparing and highlighting of defects for failure analysis
CN100481360C (zh) 检查方法、解析片的制作方法、解析方法以及解析装置
Orthner et al. High speed wafer scale bulge testing for the determination of thin film mechanical properties
KR20110025785A (ko) 하전 입자선 장치
JP3753382B2 (ja) 薄いSi/SiGe二重層において結晶欠陥を測定する方法
US6753955B2 (en) Inspection device for crystal defect of silicon wafer and method for detecting crystal defect of the same
TW201037474A (en) System and method for implementing multi-resolution advanced process control
US10957608B2 (en) Guided scanning electron microscopy metrology based on wafer topography
JP4550453B2 (ja) 工程管理システム、及び工程管理方法
Gin et al. Inline metrology of high aspect ratio hole tilt using small-angle x-ray scattering
Orekhov et al. Wide field of view crystal orientation mapping of layered materials
JP4908885B2 (ja) 半導体装置の特性予測方法及び特性予測装置
Dixson et al. Process optimization for lattice-selective wet etching of crystalline silicon structures
Ye et al. Fabrication of single-crystal silicon nanoslits with feature sizes down to 4 nm and high length-width ratios
El Kodadi et al. Real time scatterometry for profile control during resist trimming process
TW201507166A (zh) 用於金屬氧化物半導體薄膜電晶體之介電薄膜的針孔評估方法
Allen et al. Critical dimension calibration standards for ULSI metrology
Dixson et al. Single crystal critical dimension reference materials (SCCDRM): process optimization for the next generation of standards
Usami et al. Drop-in test structure chip to visualize residual stress of ru/cu film grown by atomic layer deposition and supercritical fluid deposition
Sirman et al. a-Si Pinhole Detection and Characterization using Haze Monitoring: CFM: Contamination Free Manufacturing
Li et al. Calibration of Pitch Standards of SEM for Semiconductor Dimension Metrology Application

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant