CN112018095A - 封装件及其形成方法 - Google Patents

封装件及其形成方法 Download PDF

Info

Publication number
CN112018095A
CN112018095A CN202010473541.1A CN202010473541A CN112018095A CN 112018095 A CN112018095 A CN 112018095A CN 202010473541 A CN202010473541 A CN 202010473541A CN 112018095 A CN112018095 A CN 112018095A
Authority
CN
China
Prior art keywords
device die
package
bonded
die
bonding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010473541.1A
Other languages
English (en)
Other versions
CN112018095B (zh
Inventor
余振华
叶松峯
陈明发
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/589,653 external-priority patent/US11189599B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112018095A publication Critical patent/CN112018095A/zh
Application granted granted Critical
Publication of CN112018095B publication Critical patent/CN112018095B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/8082Diffusion bonding
    • H01L2224/8083Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80906Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80907Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种封装件,包括第一器件管芯和通过混合接合而接合至第一器件管芯的第二器件管芯。第二器件管芯大于第一器件管芯。第一隔离区将第一器件管芯密封在其中。第一器件管芯、第二器件管芯、以及第一隔离区形成第一封装件的一部分。第三器件管芯通过混合接合而接合至第一封装件。第三器件管芯大于第一封装件。第二隔离区将第一封装件密封在其中。第一封装件、第三器件管芯、以及第二隔离区形成第二封装件的一部分。本申请另一方面涉及一种封装件的形成方法。

Description

封装件及其形成方法
技术领域
本申请设计集成电路领域,具体地,涉及封装件及其形成方法。
背景技术
集成电路的封装变得越来越复杂,在同一封装件中封装了更多的器件管芯以实现更多的功能。例如,已经开发出封装结构以在同一封装件中包括多个诸如处理器和存储器立方体的器件管芯。封装结构可以包括使用不同技术形成的器件管芯,并且具有接合至相同器件管芯的不同功能,从而形成系统。这可以节省制造成本并且优化器件性能。
发明内容
根据本申请的实施例,提供了一种封装件,包括:第一器件管芯;第二器件管芯,接合至第一器件管芯,其中,第二器件管芯大于第一器件管芯,并且其中,第一器件管芯的第一接合焊盘通过金属至金属接合而接合至第二器件管芯的第二接合焊盘,并且第一器件管芯的第一表面介电层通过熔融接合而接合至第二器件管芯的第二表面介电层;第一隔离区,第一器件管芯密封在第一隔离区中,其中,第一器件管芯、第二器件管芯、以及第一隔离区形成第一封装件的部分;第三器件管芯,接合至第一封装件,其中,第三器件管芯大于第一封装件,并且其中,第三器件管芯的第三接合焊盘通过金属至金属接合而接合至第一封装件的第四接合焊盘,并且第三器件管芯的第三表面介电层通过熔融接合而接合至第一封装件的第四表面介电层;以及第二隔离区,第一封装件密封在第二隔离区中,其中,第一封装件、第三器件管芯、以及第二隔离区形成第二封装件的部分。
根据本申请的实施例,提供了一种封装件,包括:第一器件管芯;第二器件管芯,接合至第一器件管芯的正面;第一间隙填充材料,围绕第一器件管芯,以与第一器件管芯和第二器件管芯一起形成第一封装件,其中,第一间隙填充材料的边缘与第二器件管芯的相应边缘齐平;第三器件管芯,接合至第一封装件,其中,第三器件管芯位于第一器件管芯的背面上;以及第二间隙填充材料,围绕第一封装件,以与第一封装件和第三器件管芯一起形成第二封装件,其中,第二间隙填充材料的边缘与第三器件管芯的相应边缘齐平。
根据本申请的实施例,提供了一种形成封装件的方法,包括:将第一器件管芯接合至第一晶圆的第二器件管芯上;将第一器件管芯密封在第一间隙填充材料中;在第二器件管芯的第一半导体衬底的背面上形成第一接合焊盘,其中,第一接合焊盘电连接至穿透第一半导体衬底的第一贯穿通孔;分割第一晶圆和第一间隙填充材料以形成第一封装件,其中,第一封装件包括第一器件管芯和第二器件管芯;将第一封装件接合至第二晶圆的第三器件管芯上;将第一封装件密封在第二间隙填充材料中;在第三器件管芯的第二半导体衬底的背面上形成第二接合焊盘,其中,第二接合焊盘电连接至穿透第二半导体衬底的第二贯穿通孔;以及分割第二晶圆和第二间隙填充材料以形成第二封装件,其中,第二封装件包括第一封装件和第三器件管芯。
本申请的实施例提供了通过封装中封装形式形成的系统。
附图说明
当结合附图进行阅读时,从以下详细描述可以最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1和图2示出了根据一些实施例的器件晶圆和相应的器件管芯的截面图;
图3至图22是根据一些实施例的在封装件的形成中的中间阶段的截面图;
图23至图26是根据一些实施例的在封装件的形成中的中间阶段的截面图;
图27至图33示出了根据一些实施例形成的封装件的一些应用;
图34示出了根据一些实施例的用于形成封装件的工艺流程。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。另外,本发明可以在各个实例中重复参考数字和/或字母。该重复是出于简化和清楚的目的,其本身并不指示所讨论的各种实施例和/或结构之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以容易地描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
根据一些实施例,提供了一种封装件及其形成方法。根据一些实施例,示出了封装件的形成中的中间阶段。讨论了一些实施例的一些变型。本文讨论的实施例将提供示例,以使得能够实施或者使用本发明的主题,并且本领域普通技术人员将容易地理解可以实施的同时保持在不同实施例的预期范围内的修改。贯穿各种视图和说明性实施例,相似的附图标记用于指示相似的元件。尽管可以将方法实施例讨论为以特定顺序实施,但是其他方法实施例可以以任何逻辑顺序实施。
根据本发明的一些实施例,封装件包括接合在一起的多个器件管芯。封装件的形成可以包括器件管芯接合至晶圆以形成第一重构晶圆。第一构造的晶圆分割为第一封装件。第一封装件接合至第二晶圆以形成第二重构晶圆。第二构造的晶圆分割为第二封装件。可以实施进一步的工艺以将更多的器件管芯与所形成的封装件进行进一步结合。
图1示出了根据一些实施例的器件晶圆。后续使用的晶圆(例如晶圆210(图3)、310(图9)、410(图14)、和510(图19))可以具有与器件晶圆10相似或者相同的结构,因此不再对后续使用的晶圆的细节进行详细讨论,可以参考对晶圆10的讨论来找到这些晶圆的细节。晶圆10中包括多个器件管芯10'。器件晶圆10包括衬底20。根据一些实施例,衬底20是半导体衬底,其可以包括或者可以是晶体硅衬底,而其也可以包括诸如硅锗、硅碳等的其他半导体材料或者由诸如硅锗、硅碳等的其他半导体材料形成。根据一些实施例,器件管芯10'包括有源电路24,有源电路24包括有源器件,例如在半导体衬底20的顶面形成的晶体管(未示出)。根据一些实施例,贯穿通孔(有时称为贯穿衬底通孔(TSV))26可以形成为延伸至衬底20中。根据可替代的实施例,晶圆10不包括在其中形成的TSV。当形成在硅衬底中时,TSV 26有时也称为贯穿硅通孔。每个TSV 26可以由隔离衬垫28包围,隔离衬垫28由诸如氧化硅、氮化硅等的介电材料形成。隔离衬垫28将相应的TSV 26与半导体衬底20隔离。根据一些实施例,TSV26和隔离衬垫28从半导体衬底20的顶面延伸至半导体衬底20的顶面和底面之间的中间高度。根据一些实施例,TSV 26的顶面与半导体衬底20的顶面齐平。根据可替代的实施例,TSV 26延伸至一个介电层32中,并且从相应的介电层32的顶面向下延伸至半导体衬底20中。
互连结构30形成在半导体衬底20上方。互连结构30可以包括多个介电层32。金属线38和通孔36形成在介电层32中,并且电连接至TSV 26和电路24。根据一些实施例,介电层32通过氧化硅、氮化硅、碳化硅、氮氧化硅、其组合、和/或其多层来形成。介电层32可以包括一个或者多个通过具有低k值的低k介电材料形成的金属间介电(IMD)层,该低k值可以是例如小于约3.0,或者在约2.5和约3.0之间的范围内。
电连接器42形成在器件管芯10'的顶面。根据一些实施例,电连接器42包括金属柱、金属焊盘、金属凸块(有时称为微型凸块)等。电连接器42的材料可以包括非焊料材料,其可以包括并且可以是铜、镍、铝、金、其多层、其合金等。电连接器42可以通过一些其他导电部件(未示出)以及通过金属线38和通孔36电连接至集成电路24,所述其他导电部件包括但不限于铝焊盘、后钝化互连(PPI)等。而且,在电连接器42和金属线38之间可以存在介电层,例如低k介电层、钝化(非低k)层、聚合物层等。
电连接器42位于表面介电层34中,并且位于金属焊盘40(例如铝铜焊盘)上方并且电连接至金属焊盘40。根据本发明的一些实施例,一些后钝化互连(PPI)位于电连接器42和对应的金属焊盘40之间并且将电连接器42和对应的金属焊盘40互连。可以形成钝化层(通过氧化物、氮化物等形成)以覆盖金属焊盘40的一些边缘部分。根据一些实施例,可以在金属焊盘40上方形成(一些)聚合物层(例如聚苯并恶唑(PBO)、聚酰亚胺等)。根据一些可替代的实施例,在晶圆10中未形成含聚合物的介电层。根据本发明的一些实施例,表面介电层34由含硅的介电材料形成或者包含含硅的介电材料,其可以包含或者可以不包含氧。例如,表面介电层34可以包括氧化硅、氮化硅、氧氮化硅等。
贯穿整个说明书,半导体衬底20的具有有源电路24和互连结构30的一面称为半导体衬底20的正面(或者有源面),而相反的一面称为半导体衬底20的背面(或者无源面)。半导体衬底20的正面也称为晶圆10和(器件管芯10')的正面(或者有源面)10'F,而半导体衬底20的背面也称为器件管芯10′(晶圆10)的背面(或者无源面)10'B。
图2示出了器件管芯10',其通过实施分割工艺以将晶圆10锯切成离散的器件管芯10'而形成。根据一些实施例,在后续工艺中使用的器件管芯(例如器件管芯110'(图3))可以具有类似于器件管芯10'的结构,因此这里不讨论细节。
图3至图22示出了根据本发明的一些实施例的在封装件的形成中的中间阶段的截面图。相应的工艺也示意性地反映在图34所示的工艺流程中。在随后的工艺中,晶圆和器件管芯可以具有类似于分别如图1和图2所示的晶圆10和器件管芯10'的结构。图示的晶圆和器件中的组件可以用图1和图2中相同的数字加上数字100、200、300、400或者500来表示。例如,器件管芯110'中的贯穿通孔可以称为126,晶圆210(图3)中的贯穿通孔可以称为226,等等。类似地,器件管芯110'中的电连接器可以称为142,晶圆210中的电连接器可以称为242,等等。而且,器件管芯110'中的衬底可以称为120,晶圆210中的衬底可以称为220,等等。因此,在参考图1和图2的讨论中,通过参考具有相应编号的部件,可以找到组件的特性和材料。
图3至图8示出了器件管芯110'至晶圆210的接合以及用以形成封装件的附加部件的形成的中间阶段。参考图3,器件管芯110'接合至晶圆210。在图34的工艺流程600中,相应的工艺示出为工艺602。尽管示出了一个器件管芯110',但多个器件管芯110'可以接合至晶圆210中的器件管芯210'。器件管芯110'至晶圆210的接合可以通过混合接合来实现。另外,单个或者多个器件管芯110'可以接合至相同的器件管芯210'。接合至相同的器件管芯210'的多个器件管芯110'可以彼此相同,并且相应的接合结构可以称为具有同质结构。可替代地,接合至相同的器件管芯210'的多个器件管芯110'可以具有彼此不同的结构,并且相应的接合结构可以称为具有异质结构。
在混合接合中,接合焊盘142可以通过金属至金属的直接接合而接合至接合焊盘242。根据本发明的一些实施例,金属至金属的直接接合是或者包括铜至铜的直接接合。另外,表面介电层134可以通过介电体至介电体的接合而接合至表面介电层234,该接合可以是熔融接合。例如,可以生成Si-O-Si接合,其中Si-O键在介电层134和234的第一者中,而Si原子在介电层134和234的第二者中。
为了实现混合接合,通过相对于晶圆210轻压器件管芯110',首先将器件管芯110'预接合至介电层234和接合焊盘242。在将所有的器件管芯110'预接合之后,实施退火以使得接合焊盘242和对应的上覆接合焊盘142中的金属相互扩散。根据一些实施例,退火温度可以高于约350℃,并且可以在约350℃和约550℃之间的范围内。根据一些实施例,退火时间可以在约1.5小时和约3.0小时之间的范围内,并且可以在约1.0小时和约2.5小时之间的范围内。通过混合接合,接合焊盘142可以通过由金属相互扩散引起的直接金属接合而接合至对应的接合焊盘242。
根据一些实施例,在接合工艺之后,实施背面研磨以薄化器件管芯110',例如,使其厚度在约15μm和约30μm之间。通过薄化器件管芯110',减小了间隙146的纵横比,从而减小了间隙填充工艺中的难度。在背面研磨之后,可以露出TSV 126。可替代地,此时不露出TSV 126,而是当仍然有衬底120的薄层覆盖TSV 126时,停止背面研磨。根据这些实施例,可以在图5所示的步骤中露出TSV 126。根据间隙146的纵横比不是太高的其他实施例,可以跳过背面研磨。
图4示出了间隙填充材料/层的形成,间隙填充材料/层包括介电层150和下面的介电衬垫(蚀刻停止层)148。在图34的工艺流程600中,相应的工艺示出为工艺604。蚀刻停止层148由介电材料形成,该介电材料对器件管芯110'的侧壁以及介电层234和接合焊盘242的顶面具有良好的粘附性。根据本发明的一些实施例,蚀刻停止层148由诸如氮化硅的含氮材料形成。蚀刻停止层148可以是保形层。沉积可以包括保形沉积方法,例如原子层沉积(ALD)或者化学气相沉积(CVD)。
通过不同于蚀刻停止层148的材料的材料来形成介电层150。根据本发明的一些实施例,介电层150由氧化硅形成,也可以使用诸如碳化硅、氧氮化硅、氧碳氮化硅、PSG、BSG、BPSG等其他介电材料。可以使用CVD、高密度等离子体化学气相沉积(HDPCVD)、可流动CVD、旋涂等来形成介电层150。介电层150完全填充所剩间隙146(图3)。
根据本发明的可替代实施例,不是形成介电层148和150,而是通过密封剂来密封器件管芯110',该密封剂可以由模塑料、模制底部填充剂、树脂、环氧树脂、聚合物、和/或类似物来形成。
参考图5,实施诸如CMP工艺或者机械研磨工艺的平坦化工艺,以去除间隙填充层148和150的多余部分,从而暴露器件管芯110'。可以持续平坦化工艺,直到暴露出TSV 126。层148和150的所剩部分统称为(间隙填充)隔离区151。
接下来,通过对介电层150和蚀刻停止层148进行蚀刻来形成开口(由贯穿介电通孔152占据)。然后,形成贯穿介电通孔152(也称作贯穿通孔)以填充开口,并且连接至接合焊盘242。在图34的工艺流程600中,相应的工艺示出为工艺606。根据本发明的一些实施例,贯穿通孔152的形成包括实施镀敷工艺,例如电化学镀工艺或者化学镀工艺。贯穿通孔152可以包括金属材料,例如钨、铝、铜等,或其合金。还可在金属材料下面形成导电阻挡层(例如钛、氮化钛、钽、氮化钽等)。实施诸如CMP工艺的平坦化工艺,以去除镀敷的金属材料的多余部分,并且金属材料的所剩部分形成贯穿通孔152。贯穿通孔152可以具有基本笔直和垂直的侧壁。可替代地,贯穿通孔152可以具有渐缩的轮廓,其顶部宽度略大于相应的底部宽度。根据可替代的实施例,不形成贯穿通孔152。因此,贯穿通孔152使用虚线示出以表示可以形成它们或者可以不形成它们。
根据本发明的一些实施例,如图6所示,半导体衬底120例如通过蚀刻工艺而稍微凹进,使得TSV 226的顶部从凹进的半导体衬底120突出。在图34的工艺流程600中,相应的工艺示出为工艺608。当半导体衬底120凹进时,隔离区151可以凹进或者可以不凹进。
接下来,如图7所示,形成介电层154,以使TSV 126的突出部分嵌入其中。在图34的工艺流程600中,相应的工艺示出为工艺610。根据一些实施例,通过沉积可以由氧化硅、氮化硅等形成的介电层来形成介电层154,并且实施平坦化工艺以去除TSV 126上方的介电材料的多余部分,从而露出TSV 126。如果在先前的工艺中隔离区151没有凹进,则介电层154将限制在衬底120正上方的区域中,介电层154的边缘155与衬底120的相应边缘齐平。因此,介电层154将位于隔离区151的顶部之间并且与隔离区151的顶部接触。
参考图8,形成(一些)介电层156和再分布线(RDL)158。在图34的工艺流程600中,相应的工艺示出为工艺612。尽管以一个介电层156和一个RDL层为例示出,但是可以形成更多的介电层和RDL。根据本发明的一些实施例,介电层156通过含硅氧化物(其可以包括或者可以不包括氧)形成。例如,介电层156可以包括诸如氧化硅的氧化物,诸如氮化硅的氮化物等。RDL158可以使用镶嵌工艺来形成,该工艺包括:蚀刻介电层156以形成开口、沉积导电阻挡层至开口中、镀敷诸如铜或者铜合金的金属材料、以及实施平坦化以去除金属材料的多余的部分。可替代地,介电层156和RDL158的形成可以包括:形成介电层156、图案化介电层156以形成开口、形成金属晶种层(未示出)、形成图案化的镀敷掩模(例如光刻胶)以覆盖金属晶种层的一些部分并且使其他部分暴露在外、镀敷RDL158、去除镀敷掩模、以及蚀刻金属晶种层的不需要的部分。
进一步,在介电层156中形成接合焊盘160。在图34的工艺流程600中,相应的工艺也示出为工艺612。接合焊盘160的顶面与表面介电层156的顶面共面。通过CMP工艺或者机械研磨工艺实现平坦化。接合焊盘160例如可以由铜形成或者包括铜。贯穿整个说明书,晶圆210及其上覆结构统称为重构晶圆262。
根据一些实施例,在随后的分割工艺之前,通过薄化半导体衬底120来薄化晶圆210。可以通过诸如机械研磨工艺或者CMP工艺的平坦化工艺来实施薄化。可以在TSV 226和相应的隔离层暴露之前停止薄化。根据其他实施例,在随后的分割工艺之前不实施薄化工艺。
图8还示出了实施分割工艺以将重构晶圆262分割为离散的封装件262'。在图34的工艺流程600中,相应的工艺示出为工艺614。通过切割划线261来实施分割。封装件262'是系统封装件。晶圆210分割为器件管芯210'。
图9至图12示出了封装件262'至晶圆310的接合以及用以形成附加封装件的附加部件的形成的中间阶段。根据一些实施例,该形成工艺类似于图3和图8中的工艺,其中晶圆310(图9)对应于图3中的晶圆210,封装件262'对应于图3中的器件管芯210'。除非另有说明,否则可以使用如参考图3至图8所示工艺所讨论的相似材料和相似工艺来形成(或者不形成)图9至图12中的相似特征。
参考图9,封装件262'接合至晶圆310。在图34的工艺流程600中,相应的工艺示出为工艺616。尽管示出了一个封装件262',但多个封装件262'可以接合至晶圆310中的器件管芯310'。封装件262'至晶圆310的接合可以通过混合接合来实现,其中形成金属至金属的直接接合(在接合焊盘160和342之间)以及介电体至介电体的接合(例如表面介电层156和334之间的Si-O-Si接合)。另外,可以有单个或者多个封装件262'接合至相同的器件管芯310'。接合至相同的器件管芯310'的多个封装件262'可以彼此相同或者不同,以形成同质或者异质结构。
接下来,如图10所示,实施间隙填充工艺,以将封装件262'密封在(一些)介电材料中。在图34的工艺流程600中,相应的工艺示出为工艺618。在沉积介电材料之后,实施平坦化工艺,以使器件管芯210’的顶面与介电材料的顶面齐平。因此如图11所示形成隔离区251。根据本发明的一些实施例,隔离区251包括蚀刻停止层248和蚀刻停止层248上方的介电区250,其可以分别采用类似于用于形成蚀刻停止层148和介电区150的材料和方法。可替代地,隔离区251由诸如模塑料、模制底部填充物、树脂、环氧树脂等的密封剂形成,或者包括诸如模塑料、模制底部填充物、树脂、环氧树脂等的密封剂。
图11进一步示出了贯穿通孔352的形成。在图34的工艺流程600中,相应的工艺示出为工艺620。该形成工艺可以类似于贯穿通孔152的形成。根据可替代的实施例,不形成贯穿通孔252。因此,贯穿通孔252示出为虚线,以表示可以形成它们或者可以不形成它们。然后器件管芯210'中的衬底220可以凹进,使得TSV 226的顶部突出至衬底220上方。在图34的工艺流程600中,相应的工艺示出为工艺622。同时,隔离区251可以凹进或者可以不凹进。隔离区251可以凹进或者可以不凹进。
在随后的工艺中,如图12所示,形成介电层254和256、RDL 258、以及接合焊盘260。在图34的工艺流程600中,相应的工艺示出为工艺624。介电层254和256、RDL 258、以及接合焊盘260的形成工艺和材料可以分别类似于介电层154和156、RDL158、以及接合焊盘160的形成过工艺和材料,在此不再重复。贯穿整个说明书,晶圆310及其上覆结构统称为重构晶圆362。介电层354可以限制在衬底220正上方,或者可以如图12所示在隔离区251正上方延伸。
根据一些实施例,通过薄化半导体衬底320来薄化重构晶圆362,例如,通过诸如机械研磨工艺或者CMP工艺的平坦化工艺。所得的结构在图13中示出。可以在TSV 326和相应的隔离层暴露之前停止薄化。
图13还示出了实施分割工艺以将重构晶圆362分割为离散的封装件362'。在图34的工艺流程600中,相应的工艺示出为工艺626。通过切割划线361来实施分割。封装件362'也是系统封装件,其中还包括预成型封装件262'。根据一些实施例,不再有器件管芯接合至封装件262',并且封装件262'可以用于如图27至图33所示的封装工艺。在这些实施例中,在半导体衬底320中可以不形成TSV。如图14至18所示,根据其他实施例,更多的器件管芯接合至封装件362'。
图14至图18示出了封装件362'至晶圆410的接合以及用以形成附加封装件的附加部件的形成的中间阶段。在图34的工艺流程600中,相应的工艺示出为工艺628。封装件362'至晶圆410的接合可以通过混合接合来实现,其中形成金属至金属的直接接合(在接合焊盘260和442之间)以及介电体至介电体的接合(例如表面介电层256和434之间的Si-O-Si接合)。除非另有说明,否则可以使用如参考图9至图13所示工艺所讨论的相似材料和相似工艺来形成(或者不形成)图14至图18中的相似特征。
参考图14,封装件362'接合至晶圆410。尽管示出了一个封装件362',但多个封装件362'可以接合至晶圆410中的器件管芯410'。另外,可以有单个或者多个封装件362'接合至相同的器件管芯410'。接合至相同的器件管芯410'的多个封装件362'或者器件管芯(不在封装件中)可以彼此相同或者不同,以形成同质或者异质结构。根据本发明的一些实施例,晶圆410在半导体衬底420中不包括TSV。
接下来,如图15所示,薄化半导体衬底320以露出TSV326。在图16中,实施间隙填充工艺,以将封装件362'密封在隔离区351中,隔离区351可以包括蚀刻停止层348和蚀刻停止层348上方的介电区350。可替代地,隔离区351可以包括模塑料、模制底部填充剂、树脂、环氧树脂等。然后,根据一些实施例,可以形成贯穿通孔352。根据可替代的实施例,不形成贯穿通孔352。因此,贯穿通孔352示出为虚线,以表示可以形成它们或者可以不形成它们。
在随后的工艺中,如图17所示,半导体衬底320稍微凹进,使得TSV326的顶部从半导体衬底320突出。接下来,如图18所示,形成介电层354和356、RDL 358、以及接合焊盘360。介电层354和356、RDL 358、以及接合焊盘360的形成工艺和材料可以分别类似于介电层154和156、RDL158、以及接合焊盘160的形成工艺和材料,在此不再重复。贯穿整个说明书,晶圆410及其上覆结构统称为重构晶圆462。根据一些实施例,通过平坦化工艺通过薄化半导体衬底420来薄化重构晶圆462。
图18还示出了实施分割工艺以将重构晶圆462分割为离散的封装件462'。通过切割划线461来实施分割。贯穿整个说明书,封装件462'可替代地称为SoIC封装件462'。封装件462'包括预成型的封装件362',其中还包括预成型的封装件262'。根据一些实施例,不再有更多的器件管芯接合至封装件462',并且所得的封装件可以用于如图27至图33所示的封装工艺。根据其他实施例,如图19至22所示,可以接合更多的器件管芯。
图19至图22示出了封装件462'至晶圆510的接合以及用以形成附加封装件的附加部件的形成的中间阶段。在图34的工艺流程600中,相应的工艺示出为工艺630。封装件462'至晶圆510的接合可以通过混合接合来实现,其中形成金属至金属的直接接合(在接合焊盘360和542之间)以及介电体至介电体的接合(例如表面介电层356和534之间的Si-O-Si接合)。除非另有说明,否则可以使用如参考图14至图18所示工艺所讨论的相似材料和相似工艺来形成(或者不形成)图19至图22中的相似特征。
参考图19,封装件462'接合至晶圆510。尽管示出了一个封装件462',但多个封装件462'可以接合至晶圆510中的器件管芯510'。另外,可以有单个或者多个封装件462'接合至相同的器件管芯510',以形成同质结构或者异质结构。
接下来,如图20所示,进一步地进行半导体衬底420的薄化,并且将封装件462'密封在(一些)介电材料中,以形成间隙填充区451,该间隙填充区451可以包括蚀刻停止层448和蚀刻停止层448上方的介电区450,或者可以包括密封剂,例如模塑料、模制底部填充剂、树脂、环氧树脂等。
在随后的工艺中,如图21所示,半导体衬底520稍微凹陷,使得TSV526从半导体衬底520突出。接下来,如图22所示,形成介电层554和556、RDL 558、以及接合焊盘560。介电层554和556、RDL 558、以及接合焊盘560的形成工艺和材料可以分别类似于介电层154和156、RDL 158、以及接合焊盘160的形成工艺和材料,在此不再重复。贯穿整个说明书,晶圆510及其上覆结构统称为重构晶圆562。
图22还示出了实施分割工艺以将重构晶圆562分割为离散的封装件562'。通过切割划线561来实施分割。贯穿整个说明书,封装件562'可以可替代地称为SoIC封装件562'。封装件562'包括预成型的封装件462',其中还包括预成型的封装件362'和262'。根据一些实施例,可以停止接合更多的器件管芯,并且所得的封装件可以用于如图27至图33所示的封装工艺。根据其他实施例,可以接合更多的器件管芯。
根据本发明的一些实施例,器件管芯110'的正面110F和器件管芯210'的正面210F彼此接合。如由界面110B/310F所指示,器件管芯110'的背面面对器件管芯310'的正面。如由界面410F/210B所指示,器件管芯410'的正面面对器件管芯210'的背面。如由界面510F/310B所指示,器件管芯510'的正面面对器件管芯310'的背面。该接合方案是通过从管芯110'开始、并且在管芯110'的正面和背面交替地接合管芯而产生的。这种接合方式具有有利的特征,因为在每个接合步骤中所接合的晶圆(如图3、图9、图14和图19所示)都可以用作载体,用于相应的封装的形成,从而无需额外的载体。根据本发明的一些实施例,可以使用其他接合方案,而不是交替地接合至管芯110'的正面和背面。
在图3至22所示的实施例中,用于封装件562'的外部连接的接合焊盘形成在器件管芯510'上,该器件管芯510'是最后的接合管芯。根据可替代的实施例,用于封装件562'的外部连接的接合焊盘形成在器件管芯410'上,该器件管芯410'在接合最后的管芯之前进行接合。相应的形成工艺在图23至图26中示出。除非另有说明,否则这些实施例中组件的材料和形成工艺与在图3至图22所示的前述实施例中用相同的附图标记表示的相同的组件基本相同。因此,可以在前述实施例的讨论中找到关于图23至图26所示的组件的形成工艺和材料的细节。
图23示出了封装件462',其与图18所示的封装件462'基本相同,不同之处在于,在图23中,在器件管芯410'中形成TSV 426。封装件462'通过混合接合接合至晶圆510,其中接合焊盘360接合至接合焊盘542,并且介电层356和534通过熔融接合而接合。晶圆510没有TSV延伸至相应的半导体衬底520中。
接下来,如图24所示,薄化半导体衬底420,并且将封装件462'密封在(一些)介电材料中,以形成隔离区451,隔离区451可以包括蚀刻停止层448和蚀刻停止层448上方的介电区450,或者可以包括密封剂,例如模塑料、模制底部填充物、树脂、环氧树脂等。
在随后的工艺中,如图25所示,半导体衬底420稍微凹陷,使得TSV426从半导体衬底420突出。隔离区451可以凹进或者可以不凹进。接下来,如图26所示,形成介电层454和456、RDL 458、以及接合焊盘460。可以形成(或者可以不形成)贯穿通孔552。贯穿整个说明书,晶圆510及其上覆结构统称为重构晶圆562。根据一些实施例,通过平坦化工艺通过薄化半导体衬底520来薄化重构晶圆562。
图26还示出了实施分割工艺以将重构晶圆562分割为离散的封装件562'。通过切割划线561来实施分割。根据一些实施例,可以停止接合更多的器件管芯,并且所得的封装件可以用于如图27至图33所示的封装工艺。根据其他实施例,可以接合更多的器件管芯。
图27至图31示出了集成扇出(InFO)封装件80A、80B、80C、80D、以及80E的示例应用。根据一些实施例,封装件包括62',其可以是封装件562'、封装件462'、或者封装件362'(图22或者图26)。如图27所示,形成封装件80A。封装件80A包括密封在密封剂70中的封装件62',密封剂70'可以是或者可以包括模塑料、模制底部填充剂、树脂、环氧树脂等。贯穿通孔72形成在密封剂70中,用以互连位于密封剂70的相对面上的导电部件。图28示出了InFO封装件80B,其类似于图27中所示的封装件80A,不同之处在于,在密封剂中未形成贯穿通孔,并且在密封剂70下面未形成电连接器。在图29中示出了InFO封装件80C,其类似于图28中所示的封装件80B,不同之处在于,器件管芯82通过倒装芯片接合而接合至封装件62'。图30示出了InFO封装件80D,其类似于图27所示的封装件80A,不同之处在于,两个器件管芯82通过倒装芯片接合而接合至封装件62'和贯穿通孔72。图31示出了封装件80E,其包括两层封装件62'(包括62A'、62B'、和62C'),它们密封在密封剂70A和70B中。
图32和图33分别示出了衬底上晶圆上芯片(CoWoS)封装件80F和80G的示例应用,其还包括封装件62'。根据一些实施例,如先前实施例中所讨论的,封装件62'可以是封装件562'、封装件462'、或者封装件362'(图22或者图26)。如图32所示,封装件80F包括封装件62'和密封在密封剂85中的存储器堆叠件84,密封剂可以是或者可以包括模塑料、模制底部填充剂、树脂、环氧树脂等。中介层86位于封装件62'和存储器堆叠件84的下方并且接合至封装件62'和存储器堆叠件84。进一步地封装衬底88位于中介层86的下方并且接合至中介层86。表面安装器件(SMD)90接合至封装衬底88,表面安装器件90可以是或者可以包括诸如电容器、电感器等的无源器件。金属盖92放置在封装衬底88上,其中热界面材料(TIM)93将金属盖92连接至封装件62'和存储器堆叠件84。图33示出了封装件80G,其类似于图32所示的封装件80F,不同之处在于,用封装件80代替了图32中所示的封装件62',封装件80可以是如图27至图31所示的InFO封装件80A、80B、80C、80D、以及80E中的任何一个。
如图22和图26以及相应的形成工艺所示,器件管芯110'可以位于封装件的中心。根据本发明的一些实施例,可以从中心器件管芯110'开始接合器件管芯210'、310'、410'、和510',并且可以从器件管芯110'的交替的面来接合随后接合的器件。例如,器件管芯210'可以接合至器件管芯110'的正面,然后器件管芯310'可以接合至器件管芯110'的背面,然后器件管芯410'可以再次接合至器件管芯110'的正面,而器件管芯510'可以再次接合至器件管芯110'的背面。中心管芯110'可以是计算管芯,并且其他应用管芯接合在中心管芯110'的相对面上。而且,与相应的内部管芯相比,封装件的外部管芯可以越来越大。这种分配方案具有一些有利的特征。例如,位于中心的计算管芯与所有其他管芯具有较短的距离,并且可以改善封装件的性能,而不会在访问速度上造成明显的瓶颈。而且,通过交替接合方案,可以形成直接互连器件管芯210'和310'的贯穿通孔152,以及直接互连器件管芯310'和410'的贯穿通孔352。贯穿通孔452还可以用于通过接合焊盘542(图26)和器件管芯510'中的下层RDL来互连器件管芯410'和510'。管芯之间的直接连接显著提高了所得封装件的速度。而且,通过交替接合方案,每个晶圆都可以用作载体,从而不需要额外的载体。
本发明的实施例具有一些有利特征。通过堆叠管芯,减少了封装件的占地面积。通过使内部管芯小于外部管芯,可以在每对管芯之间形成直接连接。由于每个管芯都可以进行薄化,因此封装件的厚度较小。由于管芯之间的直接连接和较小距离,使得信号传输性能得到改善。
根据本发明的一些实施例,封装件包括:第一器件管芯;第二器件管芯,接合至第一器件管芯,其中,第二器件管芯大于第一器件管芯,并且其中,第一器件管芯的第一接合焊盘通过金属至金属接合而接合至第二器件管芯的第二接合焊盘,并且第一器件管芯的第一表面介电层通过熔融接合而接合至第二器件管芯的第二表面介电层;第一隔离区,第一器件管芯密封在该第一隔离区中,其中,第一器件管芯、第二器件管芯、以及第一隔离区形成第一封装件的一部分;第三器件管芯,接合至第一封装件,其中,第三器件管芯大于第一封装件,并且其中,第三器件管芯的第三接合焊盘通过金属至金属接合而接合至第一封装件的第四接合焊盘,并且第三器件管芯的第三表面介电层通过熔融接合而接合至第一封装件的第四表面介电层;以及第二隔离区,第一封装件密封在该第二隔离区中,其中,第一封装件、第三器件管芯、以及第二隔离区形成第二封装件的一部分。在一个实施例中,封装件还包括:第一贯穿通孔,穿透第一隔离区,其中,第一贯穿通孔将第二器件管芯直接连接至第三器件管芯。在一个实施例中,第二器件管芯和第三器件管芯分别位于第一器件管芯的正面和背面上。在一个实施例中,封装件还包括:第四器件管芯,接合至第二封装件,其中,第四器件管芯大于第二封装件,并且其中,第四器件管芯的第五接合焊盘通过金属至金属接合而接合至第二封装件的第六接合焊盘,并且第四器件管芯的第五表面介电层通过熔融接合而接合至第二封装件的第六表面介电层;以及第三隔离区,第二封装件密封在该第三隔离区中,其中,第二封装件、第四器件管芯、以及第三隔离区形成第三封装件的一部分。在一个实施例中,封装件还包括:第五器件管芯,接合至第三封装件,其中,第五器件管芯大于第三封装件,并且其中,第五器件管芯的第七接合焊盘通过金属至金属接合而接合至第三封装件的第八接合焊盘,并且第五器件管芯的第七表面介电层通过熔融接合而接合至第三封装件的第八表面介电层;以及第四隔离区,第三封装件密封在该第四隔离区中,其中,第三封装件、第五器件管芯、以及第四隔离区形成第四封装件的一部分。在一个实施例中,封装件还包括:密封剂,第四封装件密封在该密封剂中;以及再分布线,形成在密封剂和第四封装件上方,其中,再分布线横向延伸超过第四封装件的相对边缘。在一个实施例中,封装件还包括:第二贯穿通孔,穿透第二隔离区,其中,第二贯穿通孔将第三器件管芯和第四器件管芯电互连。在一个实施例中,第一隔离区包括:氮化硅衬垫,与第一器件管芯和第二器件管芯都接触;以及氧化物区,位于氮化硅衬垫上。在一个实施例中,第一封装件的第四表面介电层所具有的相对边缘与第二器件管芯的半导体衬底的对应相对边缘齐平。
根据本发明的一些实施例,封装件包括:第一器件管芯;第二器件管芯,接合至第一器件管芯的正面;第一间隙填充材料,围绕第一器件管芯,以与第一器件管芯和第二器件管芯一起形成第一封装件,其中,第一间隙填充材料的边缘与第二器件管芯的相应边缘齐平;第三器件管芯,接合至第一封装件,其中,第三器件管芯位于第一器件管芯的背面上;以及第二间隙填充材料,围绕第一封装件,以与第一封装件和第三器件管芯一起形成第二封装件,其中,第二间隙填充材料的边缘与第三器件管芯的相应边缘齐平。在一个实施例中,第一器件管芯通过第一混合接合而接合至第二器件管芯,第一混合接合包括金属至金属直接接合和熔融接合,并且,第三器件管芯通过第二混合接合而接合至第一封装件。在一个实施例中,封装件还包括:第一贯穿通孔,穿透第一间隙填充材料;以及第二贯穿通孔,穿透第二间隙填充材料。在一个实施例中,封装件还包括:第四器件管芯,接合至第二封装件,其中,第四器件管芯位于第二器件管芯的背面上;以及第三间隙填充材料,围绕第二封装件,以与第二封装件和第四器件管芯一起形成第三封装件,其中,第三间隙填充材料的边缘与第四器件管芯的相应边缘齐平。在一个实施例中,封装件还包括:第一贯穿通孔,穿透第一间隙填充材料;第二贯穿通孔,穿透第二间隙填充材料;以及第三贯穿通孔,穿透第三间隙填充材料。在一个实施例中,第一贯穿通孔将第二器件管芯直接连接至第三器件管芯,并且第二贯穿通孔将第三器件管芯直接连接至第四器件管芯。
根据本发明的一些实施例,方法包括:将第一器件管芯接合至第一晶圆的第二器件管芯上;用第一间隙填充材料密封第一器件管芯;在第二器件管芯的第一半导体衬底的背面上形成第一接合焊盘,其中,第一接合焊盘电连接至穿透第一半导体衬底的第一贯穿通孔;分割第一晶圆和第一间隙填充材料以形成第一封装件,其中,第一封装件包括第一器件管芯和第二器件管芯;将第一封装件接合至第二晶圆的第三器件管芯上;用第二间隙填充材料密封第一封装件;在第三器件管芯的第二半导体衬底的背面上形成第二接合焊盘,其中,第二接合焊盘电连接至穿透第二半导体衬底的第二贯穿通孔;以及分割第二晶圆和第二间隙填充材料以形成第二封装件,其中,第二封装件包括第一封装件和第三器件管芯。在一个实施例中,第一器件管芯通过混合接合而接合至第二器件管芯。在一个实施例中,方法还包括:形成穿透第一间隙填充材料的第一贯穿通孔,其中,第一贯穿通孔将第一器件管芯直接连接至第二器件管芯。在一个实施例中,该方法还包括:将第二封装件接合至第三晶圆的第四器件管芯上;将第二封装件密封在第三间隙填充材料中;在第四器件管芯的第三半导体衬底的背面上形成第三接合焊盘,其中,第三接合焊盘电连接至穿透第三半导体衬底的第三贯穿通孔;以及分割第三晶圆和第三间隙填充材料以形成第三封装件,其中,第三封装件包括第二封装件和第四器件管芯。在一个实施例中,形成第一接合焊盘包括:平坦化第二器件管芯的第一半导体衬底的背面,以暴露出TSV;蚀刻第一半导体衬底,以允许TSV的一部分突出至超出第一半导体衬底;形成介电层,以密封TSV的部分;形成第一接合焊盘,以电连接至TSV。
前面概述了若干实施例的特征,使得本领域的技术人员可以更好地理解本公开的各个方面。本领域的技术人员应该理解,他们可以容易地使用本公开作为用于设计或修改用于执行与本公开相同或类似的目的和/或实现相同或类似优点的其他工艺和结构的基础。本领域的技术人员还应该意识到,这种等效结构不背离本公开的精神和范围,并且可以进行各种改变、替换和变更而不背离本公开的精神和范围。

Claims (10)

1.一种封装件,包括:
第一器件管芯;
第二器件管芯,接合至所述第一器件管芯,其中,所述第二器件管芯大于所述第一器件管芯,并且其中,所述第一器件管芯的第一接合焊盘通过金属至金属接合而接合至所述第二器件管芯的第二接合焊盘,并且所述第一器件管芯的第一表面介电层通过熔融接合而接合至所述第二器件管芯的第二表面介电层;
第一隔离区,所述第一器件管芯密封在所述第一隔离区中,其中,所述第一器件管芯、所述第二器件管芯、以及所述第一隔离区形成第一封装件的部分;
第三器件管芯,接合至所述第一封装件,其中,所述第三器件管芯大于所述第一封装件,并且其中,所述第三器件管芯的第三接合焊盘通过金属至金属接合而接合至所述第一封装件的第四接合焊盘,并且所述第三器件管芯的第三表面介电层通过熔融接合而接合至所述第一封装件的第四表面介电层;以及
第二隔离区,所述第一封装件密封在所述第二隔离区中,其中,所述第一封装件、所述第三器件管芯、以及所述第二隔离区形成第二封装件的部分。
2.根据权利要求1所述的封装件,还包括:第一贯穿通孔,穿透所述第一隔离区,其中,所述第一贯穿通孔将所述第二器件管芯直接连接至所述第三器件管芯。
3.根据权利要求1所述的封装件,其中,所述第二器件管芯和所述第三器件管芯分别位于所述第一器件管芯的正面和背面上。
4.根据权利要求1所述的封装件,还包括:
第四器件管芯,接合至所述第二封装件,其中,所述第四器件管芯大于所述第二封装件,并且其中,所述第四器件管芯的第五接合焊盘通过金属至金属接合而接合至所述第二封装件的第六接合焊盘,并且所述第四器件管芯的第五表面介电层通过熔融接合而接合至所述第二封装件的第六表面介电层;以及
第三隔离区,所述第二封装件密封在所述第三隔离区中,其中,所述第二封装件、所述第四器件管芯、以及所述第三隔离区形成第三封装件的部分。
5.根据权利要求4所述的封装件,还包括:
第五器件管芯,接合至所述第三封装件,其中,所述第五器件管芯大于所述第三封装件,并且其中,所述第五器件管芯的第七接合焊盘通过金属至金属接合而接合至所述第三封装件的第八接合焊盘,并且所述第五器件管芯的第七表面介电层通过熔融接合而接合至所述第三封装件的第八表面介电层;以及
第四隔离区,所述第三封装件密封在所述第四隔离区中,其中,所述第三封装件、所述第五器件管芯、以及所述第四隔离区形成第四封装件的部分。
6.根据权利要求5所述的封装件,还包括:
密封剂,所述第四封装件密封在所述密封剂中;以及
再分布线,形成在所述密封剂和所述第四封装件上方,其中,所述再分布线横向延伸超过所述第四封装件的相对边缘。
7.根据权利要求4所述的封装件,还包括:第二贯穿通孔,穿透所述第二隔离区,其中,所述第二贯穿通孔将所述第三器件管芯和所述第四器件管芯电互连。
8.根据权利要求1所述的封装件,其中,所述第一隔离区包括:
氮化硅衬垫,接触所述第一器件管芯和所述第二器件管芯二者;以及
氧化物区,位于所述氮化硅衬垫上。
9.一种封装件,包括:
第一器件管芯;
第二器件管芯,接合至所述第一器件管芯的正面;
第一间隙填充材料,围绕所述第一器件管芯,以与所述第一器件管芯和所述第二器件管芯一起形成第一封装件,其中,所述第一间隙填充材料的边缘与所述第二器件管芯的相应边缘齐平;
第三器件管芯,接合至所述第一封装件,其中,所述第三器件管芯位于所述第一器件管芯的背面上;以及
第二间隙填充材料,围绕所述第一封装件,以与所述第一封装件和所述第三器件管芯一起形成第二封装件,其中,所述第二间隙填充材料的边缘与所述第三器件管芯的相应边缘齐平。
10.一种形成封装件的方法,包括:
将第一器件管芯接合至第一晶圆的第二器件管芯上;
将所述第一器件管芯密封在第一间隙填充材料中;
在所述第二器件管芯的第一半导体衬底的背面上形成第一接合焊盘,其中,所述第一接合焊盘电连接至穿透所述第一半导体衬底的第一贯穿通孔;
分割所述第一晶圆和所述第一间隙填充材料以形成第一封装件,其中,所述第一封装件包括所述第一器件管芯和所述第二器件管芯;
将所述第一封装件接合至第二晶圆的第三器件管芯上;
将所述第一封装件密封在第二间隙填充材料中;
在所述第三器件管芯的第二半导体衬底的背面上形成第二接合焊盘,其中,所述第二接合焊盘电连接至穿透所述第二半导体衬底的第二贯穿通孔;以及
分割所述第二晶圆和所述第二间隙填充材料以形成第二封装件,其中,所述第二封装件包括所述第一封装件和所述第三器件管芯。
CN202010473541.1A 2019-05-30 2020-05-29 封装件及其形成方法 Active CN112018095B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962854401P 2019-05-30 2019-05-30
US62/854,401 2019-05-30
US16/589,653 2019-10-01
US16/589,653 US11189599B2 (en) 2019-05-30 2019-10-01 System formed through package-in-package formation

Publications (2)

Publication Number Publication Date
CN112018095A true CN112018095A (zh) 2020-12-01
CN112018095B CN112018095B (zh) 2022-08-05

Family

ID=73264979

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010473541.1A Active CN112018095B (zh) 2019-05-30 2020-05-29 封装件及其形成方法

Country Status (3)

Country Link
US (2) US20220077117A1 (zh)
CN (1) CN112018095B (zh)
DE (1) DE102019128274A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361122B1 (en) * 2018-04-20 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Processes for reducing leakage and improving adhesion
KR20220040537A (ko) * 2020-09-23 2022-03-31 삼성전자주식회사 반도체 패키지
KR20220058683A (ko) * 2020-10-29 2022-05-10 삼성전자주식회사 반도체 패키지
US20220262766A1 (en) 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Through-Dielectric Vias for Direct Connection and Method Forming Same
US11557572B2 (en) * 2021-05-13 2023-01-17 Nanya Technology Corporation Semiconductor device with stacked dies and method for fabricating the same
US11876063B2 (en) * 2021-08-31 2024-01-16 Nanya Technology Corporation Semiconductor package structure and method for preparing the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070296073A1 (en) * 2006-06-27 2007-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
CN104253056A (zh) * 2013-06-27 2014-12-31 三星电子株式会社 具有穿通电极的半导体封装及其制造方法
CN105023917A (zh) * 2014-04-30 2015-11-04 台湾积体电路制造股份有限公司 晶圆上芯片封装件及其形成方法
US20180197837A1 (en) * 2015-09-21 2018-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Fan-out Package and the Methods of Manufacturing
CN109786264A (zh) * 2017-11-15 2019-05-21 台湾积体电路制造股份有限公司 用于封装件形成的工艺控制

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390974B2 (en) * 2012-12-21 2016-07-12 Qualcomm Incorporated Back-to-back stacked integrated circuit assembly and method of making
US8703539B2 (en) * 2012-06-29 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple die packaging interposer structure and method
US9337120B2 (en) * 2012-08-17 2016-05-10 Cisco Technology, Inc. Multi-chip module with multiple interposers
US9704735B2 (en) * 2014-08-19 2017-07-11 Intel Corporation Dual side solder resist layers for coreless packages and packages with an embedded interconnect bridge and their methods of fabrication
US9899355B2 (en) * 2015-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure
US9735131B2 (en) * 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US9741693B2 (en) * 2015-11-12 2017-08-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of forming the same
US9893028B2 (en) * 2015-12-28 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bond structures and the methods of forming the same
US9984998B2 (en) * 2016-01-06 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Devices employing thermal and mechanical enhanced layers and methods of forming same
US10050024B2 (en) * 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
KR102570582B1 (ko) * 2016-06-30 2023-08-24 삼성전자 주식회사 반도체 패키지 및 그 제조 방법
US10522449B2 (en) * 2017-04-10 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
DE102018124695A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrieren von Passivvorrichtungen in Package-Strukturen
US10535636B2 (en) * 2017-11-15 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating passive devices in package structures
KR102560697B1 (ko) * 2018-07-31 2023-07-27 삼성전자주식회사 인터포저를 가지는 반도체 패키지
KR102530320B1 (ko) * 2018-11-21 2023-05-09 삼성전자주식회사 반도체 패키지
US11063022B2 (en) * 2019-09-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method of reconstructed wafer
US11756933B2 (en) * 2021-02-12 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Inactive structure on SoIC

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070296073A1 (en) * 2006-06-27 2007-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
CN104253056A (zh) * 2013-06-27 2014-12-31 三星电子株式会社 具有穿通电极的半导体封装及其制造方法
CN105023917A (zh) * 2014-04-30 2015-11-04 台湾积体电路制造股份有限公司 晶圆上芯片封装件及其形成方法
US20180197837A1 (en) * 2015-09-21 2018-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Fan-out Package and the Methods of Manufacturing
CN109786264A (zh) * 2017-11-15 2019-05-21 台湾积体电路制造股份有限公司 用于封装件形成的工艺控制

Also Published As

Publication number Publication date
US20230387082A1 (en) 2023-11-30
US20220077117A1 (en) 2022-03-10
DE102019128274A1 (de) 2020-12-03
CN112018095B (zh) 2022-08-05

Similar Documents

Publication Publication Date Title
KR102331817B1 (ko) 패키지-인-패키지 형성을 통해 형성되는 시스템
CN112018095B (zh) 封装件及其形成方法
CN109786315B (zh) 形成半导体器件的方法以及封装件
US11935802B2 (en) Integrated circuit package and method of forming same
US10840217B2 (en) Stacked chip package and methods of manufacture thereof
TWI744411B (zh) 半導體裝置、晶粒堆疊結構、封裝結構及其製造方法
US11239205B2 (en) Integrating passive devices in package structures
TWI682449B (zh) 封裝件及其製造方法
TW201813008A (zh) 半導體封裝及其製造方法
US20210375819A1 (en) Multi-level stacking of wafers and chips
CN113517221B (zh) 半导体结构及其形成方法
US20230395573A1 (en) Semiconductor package and method of manufacturing semiconductor package
US20220375793A1 (en) Semiconductor Device and Method
TW202322324A (zh) 晶片堆疊
TWI772999B (zh) 晶圓及晶片的多層階堆疊方法
CN220400576U (zh) 器件封装及半导体封装
TWI841024B (zh) 半導體結構
CN220253241U (zh) 半导体装置
US11742325B2 (en) Semiconductor device including a plurality of dielectric materials between semiconductor dies and methods of forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant