CN111063633A - Substrate processing apparatus and substrate processing method - Google Patents

Substrate processing apparatus and substrate processing method Download PDF

Info

Publication number
CN111063633A
CN111063633A CN201910987234.2A CN201910987234A CN111063633A CN 111063633 A CN111063633 A CN 111063633A CN 201910987234 A CN201910987234 A CN 201910987234A CN 111063633 A CN111063633 A CN 111063633A
Authority
CN
China
Prior art keywords
substrate
cleaning liquid
back surface
processing apparatus
nozzle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910987234.2A
Other languages
Chinese (zh)
Inventor
金松泰范
中井仁司
奥谷学
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Holdings Co Ltd
Original Assignee
Screen Holdings Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Screen Holdings Co Ltd filed Critical Screen Holdings Co Ltd
Publication of CN111063633A publication Critical patent/CN111063633A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/041Cleaning travelling work
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

The invention provides a substrate processing apparatus and a substrate processing method capable of inhibiting a filling material from adhering to the back surface of a substrate. A substrate processing apparatus (100) processes a substrate W having an upper surface and a rear surface. A substrate processing apparatus (100) comprises a substrate holding unit (120), a filler supply unit (150), and a first cleaning liquid supply unit (160). The substrate holding unit (120) holds the central portion of the back surface of the substrate (W) and rotates the substrate (W). A filler supply unit (150) supplies a filler to the upper surface of the substrate (W) held by the substrate holding unit (120). The first cleaning liquid supply unit (160) supplies a cleaning liquid to the back surface of the substrate (W) held by the substrate holding unit (120). The first cleaning liquid supply section (160) supplies a cleaning liquid toward a region held by the substrate holding section (120) on the back surface of the substrate (W).

Description

Substrate processing apparatus and substrate processing method
Technical Field
The present invention relates to a substrate processing apparatus and a substrate processing method.
Background
It is known that substrates used in electronic components such as semiconductor devices and liquid crystal display devices are processed by a substrate processing apparatus. As a process of a substrate, in a manufacturing process of an electronic component, a process of forming a fine pattern by repeating processes such as film formation and etching on a surface of the substrate is performed. Among them, in order to perform fine processing on the substrate surface satisfactorily, it is necessary to keep the substrate surface clean. Therefore, the substrate surface is typically subjected to a cleaning process using a rinse liquid. In this case, after the cleaning process is completed, it is necessary to dry the substrate by removing the rinse liquid adhering to the surface of the substrate.
One important issue in the drying process is to dry the substrate without collapsing the pattern formed on the surface of the substrate. As a method for solving this problem, a sublimation drying technique has attracted attention (for example, patent document 1). Patent document 1 describes: after a pattern is formed by dissolving a photoresist film applied to the surface of a substrate by supplying a developing solution to the photoresist film subjected to an exposure treatment, a rinse solution is supplied to the surface of the substrate to remove the developing solution, a polymer soluble in the rinse solution is supplied to the substrate in a state where the rinse solution covers the surface of the substrate at the end of the rinse treatment, and then the polymer solution is dried. In patent document 1, the concave portions of the pattern (gaps between the convex portions made of the photoresist film) are filled with a polymer, and then the polymer is removed by selective plasma ashing.
Patent document 1: japanese patent laid-open publication No. 2007-19161
As described in patent document 1, the polymer formed on the upper surface of the substrate can be removed by plasma ashing. However, when the polymer is supplied to the substrate, the polymer may adhere to not only the upper surface of the substrate but also the back surface of the substrate. The polymer adhered to the back surface of the substrate cannot be sufficiently removed even by plasma ashing, and a problem such as defocusing may occur in the exposure step.
Disclosure of Invention
The present invention has been made in view of the above problems, and an object thereof is to provide a substrate processing apparatus and a substrate processing method capable of suppressing adhesion of a filler to a back surface of a substrate.
According to one embodiment of the present invention, a substrate processing apparatus is provided for processing a substrate having an upper surface and a rear surface. The substrate processing apparatus includes: a substrate holding unit that holds a central portion of the back surface of the substrate and rotates the substrate; a filler supplying section configured to supply a filler to the upper surface of the substrate held by the substrate holding section; and a first cleaning liquid supply unit configured to supply a cleaning liquid to the back surface of the substrate held by the substrate holding unit. The first cleaning liquid supply portion supplies the cleaning liquid toward a region held by the substrate holding portion in the back surface of the substrate.
In the substrate processing apparatus of the present invention, the substrate holding portion is adsorbed to a central portion of the back surface of the substrate.
In the substrate processing apparatus of the present invention, the cleaning liquid supplied from the first cleaning liquid supply portion to the back surface of the substrate spreads on the back surface of the substrate and approaches a region held by the substrate holding portion in the back surface of the substrate.
In the substrate processing apparatus of the present invention, the first cleaning liquid supply unit includes at least one nozzle that discharges the cleaning liquid.
In the substrate processing apparatus according to the present invention, the at least one nozzle faces the substrate such that a component projecting a traveling direction of the cleaning liquid to the rear surface of the substrate immediately before reaching the rear surface of the substrate is parallel to a normal direction of an arrival point with respect to a center of the substrate.
In the substrate processing apparatus according to the present invention, the at least one nozzle includes a first nozzle and a second nozzle, and a distance from a center of the substrate to a point where the cleaning liquid is discharged toward the substrate through the first nozzle is different from a distance from the center of the substrate to a point where the cleaning liquid is discharged toward the substrate through the second nozzle.
In the substrate processing apparatus of the present invention, the cleaning liquid discharged from the first nozzle is different from the cleaning liquid discharged from the second nozzle.
In the substrate processing apparatus according to the present invention, the substrate processing apparatus further includes a nozzle moving unit configured to move the at least one nozzle in a horizontal direction.
In the substrate processing apparatus according to the present invention, the substrate processing apparatus further includes a second cleaning liquid supply unit that supplies a cleaning liquid for cleaning a side surface of the substrate.
In the substrate processing apparatus of the present invention, a distance from the center of the substrate to a point where the cleaning liquid is supplied to the substrate by the second cleaning liquid supply portion is longer than a distance from the center of the substrate to a point where the cleaning liquid is supplied to the substrate by the first cleaning liquid supply portion.
In the substrate processing apparatus of the present invention, the cleaning liquid includes at least one of isopropyl alcohol, propylene glycol monomethyl ether acetate, 1-ethoxy-2-propanol, and acetone.
In the substrate processing apparatus according to the present invention, the substrate processing apparatus further includes an inert gas supply unit configured to supply an inert gas to the back surface of the substrate.
According to another embodiment of the present invention, a substrate processing method is provided for processing a substrate having an upper surface and a back surface. The substrate processing method includes: rotating the substrate while holding a central portion of the back surface of the substrate; a filler supply step of supplying a filler to the upper surface of the substrate; and a cleaning liquid supply step of supplying a cleaning liquid to the back surface of the substrate after the filler supply step. The cleaning liquid supplying step includes a step of supplying the cleaning liquid toward a region of the back surface of the substrate where the substrate is held.
In the substrate processing method according to the present invention, in the cleaning liquid supply step, the cleaning liquid supplied to the back surface of the substrate spreads over the back surface of the substrate and approaches a region of the back surface of the substrate where the substrate is held.
In the substrate processing method according to the present invention, in the cleaning liquid supply step, a component of the traveling direction of the cleaning liquid projected onto the rear surface of the substrate immediately before reaching the rear surface of the substrate is parallel to a normal direction of an arrival point with respect to the center of the substrate.
In the substrate processing method according to the present invention, the filling material supplying step includes: discharging the filler material onto the upper surface of the substrate; rotating the substrate at a first rotational speed after the filler is ejected; rotating the substrate at a second rotation speed lower than the first rotation speed after rotating the substrate at the first rotation speed; and rotating the substrate at a third rotation speed higher than the second rotation speed after rotating the substrate at the second rotation speed.
In the substrate processing method according to the present invention, the cleaning liquid supply step includes: a step in which a first cleaning liquid supply unit supplies the cleaning liquid toward a region in the back surface of the substrate where the substrate is held; a step in which the second cleaning liquid supply unit supplies a cleaning liquid for cleaning the side surface of the substrate; rotating the substrate at a fourth rotation speed lower than the third rotation speed while the first cleaning liquid supply unit supplies the cleaning liquid; and rotating the substrate at a fifth rotation speed higher than the fourth rotation speed while the second cleaning liquid supply unit supplies the cleaning liquid.
In the substrate processing method of the present invention, the substrate processing method further includes: and a step of supplying an inert gas to the back surface of the substrate from before the step of starting the supply of the filler to after the step of supplying the cleaning liquid.
In the substrate processing method according to the present invention, in the step of supplying the inert gas, a flow rate of the inert gas in the step of supplying the filler is lower than a flow rate of the inert gas in the step of supplying the cleaning liquid.
In the substrate processing method according to the present invention, in the cleaning liquid supply step, the cleaning liquid is supplied toward a region of the back surface of the substrate where the substrate is held, and then, a cleaning liquid for cleaning a side surface of the substrate is supplied.
According to the present invention, adhesion of the filler to the back surface of the substrate can be suppressed.
Drawings
Fig. 1 is a schematic view showing an embodiment of a substrate processing apparatus according to the present invention.
Fig. 2 is a diagram showing a substrate with a filler attached to the back surface.
Fig. 3A and 3B are schematic views showing the position of the cleaning liquid supply portion with respect to the substrate in the substrate processing apparatus according to the present embodiment.
Fig. 4A to 4D are schematic views showing a process of spreading the cleaning liquid supplied from the cleaning liquid supply unit to the substrate in the substrate processing apparatus according to the present embodiment.
Fig. 5 is a schematic view showing the arrival point of the cleaning liquid supplied from the cleaning liquid supply unit to the substrate in the substrate processing apparatus according to the present embodiment.
Fig. 6A and 6B are schematic views showing an embodiment of a substrate processing apparatus according to the present invention.
Fig. 7 is a schematic view showing a substrate processing apparatus according to the present embodiment.
Fig. 8 is a schematic view showing a substrate processing apparatus according to the present embodiment.
Fig. 9A to 9C are schematic views of a cleaning liquid supply unit in the substrate processing apparatus according to the present embodiment.
Fig. 10A and 10B are schematic views showing an embodiment of a substrate processing apparatus according to the present invention.
Fig. 11 is a schematic view of a heat treatment unit in the substrate treatment apparatus according to the present embodiment.
Fig. 12 is a block diagram of the substrate processing apparatus according to the present embodiment.
Fig. 13 is a flowchart for explaining the substrate processing method according to the present embodiment.
Fig. 14A to 14C are schematic views for explaining the substrate processing method according to the present embodiment.
Fig. 15A to 15C are schematic views for explaining the substrate processing method according to the present embodiment.
Fig. 16 is a flowchart for explaining the substrate processing method according to the present embodiment.
Fig. 17 is a flowchart for explaining the substrate processing method according to the present embodiment.
Fig. 18 is a schematic view of the substrate processing apparatus according to the present embodiment.
Fig. 19 is a flowchart for explaining the substrate processing method according to the present embodiment.
Fig. 20 is a flowchart for explaining the substrate processing method according to the present embodiment.
Fig. 21 is a schematic view of the substrate processing apparatus according to the present embodiment.
Fig. 22A to 22D are schematic views for explaining the substrate processing method according to the present embodiment.
Description of the reference numerals:
100 substrate processing apparatus
150 supply part of filling material
160 cleaning liquid supply part
W substrate
Detailed Description
The present embodiment will be described below with reference to the drawings. In the drawings, the same or corresponding portions are denoted by the same reference numerals, and description thereof will not be repeated.
An embodiment of a substrate processing apparatus 100 is described with reference to fig. 1. Fig. 1 is a schematic view of a substrate processing apparatus 100 according to the present embodiment.
The substrate processing apparatus 100 processes a substrate W. The substrate processing apparatus 100 processes the substrate W so as to perform at least one of etching, surface treatment, property imparting, treatment film formation, film removal of at least a part, and cleaning on the substrate W.
The substrate W includes, for example, a semiconductor wafer, a substrate for a liquid crystal Display device, a substrate for a plasma Display device, a substrate for a Field Emission Display (FED), a substrate for an optical disk, a substrate for a magnetic disk, a substrate for a magneto-optical disk, a substrate for a photomask, a ceramic substrate, and a substrate for a solar cell. For example, the substrate W has a substantially disk shape. Here, the substrate processing apparatus 100 processes the substrates W one by one.
The substrate processing apparatus 100 includes a chamber 110, a substrate holding unit 120, a chemical liquid supply unit 130, a rinse liquid supply unit 135, an organic solvent supply unit 140, a filler supply unit 150, and a first cleaning liquid supply unit 160. The chamber 110 accommodates a substrate W. The substrate holding portion 120 holds the substrate W. The chemical liquid supply unit 130 supplies a chemical liquid to the substrate W. The rinse liquid supply unit 135 supplies the rinse liquid to the substrate W. The organic solvent supply unit 140 supplies an organic solvent to the substrate W. The filler supply unit 150 supplies a filler to the substrate W. The first cleaning liquid supply portion 160 supplies a cleaning liquid to the substrate W.
The chamber 110 has a substantially box shape having an inner space. The chamber 110 accommodates a substrate W. Here, the substrate processing apparatus 100 is of a single-substrate type that processes the substrates W one by one, and the substrates W are accommodated in the chamber 110 one by one. The substrate W is accommodated in the chamber 110 and processed in the chamber 110. At least a part of each of the substrate holding part 120, the chemical liquid supply part 130, the rinse liquid supply part 135, the organic solvent supply part 140, the filler supply part 150, and the first cleaning liquid supply part 160 is accommodated in the chamber 110.
An entrance 112 for loading and unloading the substrate W is provided in a side wall of the chamber 110. The chamber 110 is provided with a shutter 114 for opening and closing the inlet 112. The shutter 114 is driven to open and close by a shutter opening and closing unit 116.
The substrate holding portion 120 holds the substrate W. The substrate holding unit 120 holds the substrate W horizontally so that the upper surface of the substrate W faces upward and the back surface of the substrate W faces vertically downward. The substrate holding unit 120 rotates the substrate W while holding the substrate W.
For example, the substrate holding unit 120 may be a vacuum chuck. In this case, the substrate holding unit 120 holds the substrate W horizontally by causing a central portion of the back surface (lower surface) of the substrate W, which is a non-device-formation surface, to be attracted to the upper surface of the spin base 121.
The substrate holding unit 120 includes a spin base 121, a rotation shaft 122, and an electric motor 123. The rotating shaft 122 is a hollow shaft. The rotation shaft 122 extends in the vertical direction along the rotation axis AX. A spin base 121 is coupled to an upper end of the spin shaft 122. The back surface of the substrate W is in contact with the spin base 121, and the substrate W is placed on the spin base 121.
The spin base 121 has a disk shape and supports the substrate W in a horizontal posture. The spin base 121 includes a circular plate portion 121A and a cylindrical portion 121B. The substrate W is placed above the disk portion 121A, and the back surface of the substrate W contacts the disk portion 121A. The disc portion 121A is disc-shaped along the horizontal direction. Cylindrical portion 121B is fitted around the upper end of rotary shaft 122. The diameter of the upper surface of the circular plate 121A is smaller than the diameter of the substrate W.
The rotation shaft 122 extends downward from the center of the spin base 121. The electric motor 123 applies a rotational force to the rotational shaft 122. The electric motor 123 rotates the rotation shaft 122 in the rotation direction, thereby rotating the substrate W and the spin base 121 about the rotation axis AX.
The substrate holding portion 120 further includes a suction unit 127. The suction unit 127 includes a vacuum pump. The suction unit 127 sucks the substrate W placed on the upper surface of the spin base 121, and the substrate W is held by the spin base 121. A suction path 125 is inserted through the spin base 121 and the rotation shaft 122. The suction path 125 has a suction port 124 exposed from the center of the upper surface of the spin base 121. The suction path 125 is connected to a suction tube 126. The suction tube 126 is connected to a suction unit 127. A valve 128 for opening and closing the path is disposed in the suction tube 126.
As described above, the substrate holding portion 120 may be a vacuum chuck. However, the substrate holding unit 120 is not limited to a vacuum chuck. The substrate holding portion 120 may have any mechanism for holding the substrate W from the back surface. Alternatively, the substrate holding portion 120 may be used in combination with a chuck of a chucking type in which a plurality of chuck pins are brought into contact with the peripheral end surface of the substrate W.
The chemical liquid supply unit 130 supplies a chemical liquid to the upper surface of the substrate W. The substrate W can be processed by the chemical solution. For example, the substrate W is subjected to at least one of etching, surface treatment, property imparting, treatment film formation, film removal at least partially, and cleaning with a chemical solution. For example, the chemical liquid includes hydrofluoric acid (hydrogen fluoride water: HF). Alternatively, the chemical solution may be a liquid containing at least one of sulfuric acid, acetic acid, nitric acid, hydrochloric acid, citric acid, buffered hydrofluoric acid (BHF), diluted hydrofluoric acid (DHF), ammonia water, diluted ammonia water, hydrogen peroxide water, an organic base (e.g., TMAH: tetramethylammonium hydroxide, etc.), a surfactant, and an anticorrosive agent. The chemical solution may be a mixture of the above liquids. Examples of the chemical solution for mixing these liquids include SPM (aqueous hydrogen peroxide sulfate solution), SC1 (aqueous ammonia/aqueous hydrogen peroxide solution), SC2 (aqueous hydrogen peroxide hydrochloride solution), and the like.
The chemical liquid supply unit 130 includes a nozzle 131, a supply pipe 132, and a valve 133. The nozzle 131 faces the upper surface of the substrate W and discharges the chemical solution toward the upper surface of the substrate W. The supply pipe 132 is combined with the nozzle 131. The nozzle 131 is provided at the tip of the supply pipe 132. The chemical liquid is supplied from the chemical liquid supply source to the supply pipe 132. The valve 133 is provided in the supply pipe 132. The valve 133 opens and closes a flow path in the supply pipe 132.
The rinse liquid supply unit 135 supplies the rinse liquid to the upper surface of the substrate W. The chemical solution or the like adhering to the substrate W can be rinsed by the rinse liquid. The rinse liquid supplied from the rinse liquid supply unit 135 may be Deionized Water (Deionized Water), carbonated Water, electrolytic ionized Water, ozone Water, ammonia Water, hydrochloric acid Water having a diluted concentration (for example, about 10ppm to 100 ppm), or reduced Water (hydrogen Water).
The rinse liquid supply unit 135 includes a nozzle 136, a supply pipe 137, and a valve 138. The nozzle 136 faces the upper surface of the substrate W and discharges the rinse liquid toward the upper surface of the substrate W. The supply pipe 137 is combined with the nozzle 136. The nozzle 136 is provided at the tip of the supply pipe 137. The rinse liquid is supplied from the supply source to the supply pipe 137. The valve 138 is provided in the supply pipe 137. The valve 138 opens and closes a flow path in the supply pipe 137.
The organic solvent supply unit 140 supplies an organic solvent to the upper surface of the substrate W. For example, the organic solvent is preferably mixed with both the solvent contained in the filler and the water contained in the rinse solution. The organic solvent may be isopropyl alcohol (isopyrohol: IPA). Alternatively, the organic solvent may be ethanol, acetone, propylene glycol monoethyl ether (PGEE), Propylene Glycol Monomethyl Ether Acetate (PGMEA), or the like.
The organic solvent supply unit 140 includes a nozzle 142, a supply pipe 144, and a valve 146. The nozzle 142 faces the upper surface of the substrate W and discharges the organic solvent toward the upper surface of the substrate W. The supply pipe 144 is combined with the nozzle 142. The nozzle 142 is provided at the tip of the supply pipe 144. The organic solvent is supplied from the supply source to the supply pipe 144. A valve 146 is provided in the supply pipe 144. The valve 146 opens and closes a flow path in the supply pipe 144.
The filler supply unit 150 supplies a filler to the upper surface of the substrate W. By supplying the filler, a filler film is formed on the substrate W. When a fine pattern is formed on the substrate W, the filler fills the gap between the fine pattern to form a filler film. The filler supply unit 150 supplies the filler, thereby preventing the fine pattern of the substrate W from collapsing due to drying of the rinse liquid after the cleaning with the rinse liquid having a high surface tension. The filling film may be formed not only in the gaps of the fine pattern but also in the upper part of the fine pattern in a bridge shape.
For example, it is preferable that the filling film formed by the filling material has sublimability. The filler is, for example, a solution in which a sublimable acrylic polymer is dissolved in an organic solvent. The organic solvent for dissolving the sublimable acrylic polymer may be PGEE or the like. The organic solvent may also be IPA, PGMEA, or the like.
The filler supply unit 150 includes a nozzle 152, a supply pipe 154, and a valve 156. The nozzle 152 faces the upper surface of the substrate W and discharges the filler toward the upper surface of the substrate W. The supply pipe 154 is combined with the nozzle 152. The nozzle 152 is provided at the tip of the supply pipe 154. The filling material is supplied from a supply source to the supply pipe 154. Valve 156 is provided on the supply pipe 154. The valve 156 opens and closes a flow path in the supply pipe 154.
The first cleaning liquid supply portion 160 supplies a cleaning liquid to the back surface of the substrate W. The first cleaning liquid supply portion 160 supplies a cleaning liquid to the inside of the back surface of the substrate W. In this specification, the first cleaning liquid supply unit 160 may be referred to as only the cleaning liquid supply unit 160.
The cleaning liquid supply unit 160 may supply an organic solvent as a cleaning liquid. Preferably, the organic solvent includes at least one of IPA, PGMEA, PGEE, ethanol, and acetone. Alternatively, the cleaning liquid supply unit 160 may supply water as the cleaning liquid.
The cleaning liquid supply portion 160 includes a nozzle 162, a supply pipe 164, and a valve 166. The nozzle 162 faces the back surface of the substrate W and discharges the cleaning liquid toward the back surface of the substrate W. The supply pipe 164 is combined with the nozzle 162. The nozzle 162 is provided at the tip of the supply pipe 164. The supply pipe 164 is supplied with the cleaning liquid from the supply source. A valve 166 is provided in the supply pipe 164. The valve 166 opens and closes a flow path in the supply pipe 164.
In the substrate processing apparatus 100, the filler supply unit 150 supplies the filler to the upper surface of the substrate W, thereby preventing the pattern collapse when the rinse liquid is dried. However, when the filler is supplied to the substrate, a part of the filler may adhere to the back surface of the substrate.
Fig. 2 is a schematic view showing the back surface of the substrate W to which the filler is attached. As shown in fig. 2, when a filler is supplied to a substrate W to form a filler layer, the filler may adhere to the back surface of the substrate W even if the substrate W is subjected to plasma ashing. When the filler adheres to the back surface of the substrate W, the surface height of the substrate W may deviate from a predetermined height when the substrate W is exposed in a subsequent photolithography step, and thus, the light may not be appropriately focused. In this case, the exposure pattern cannot be formed properly, and the yield of the product is lowered.
As shown in fig. 1, in the substrate processing apparatus 100 according to the present embodiment, the cleaning liquid supply unit 160 supplies the cleaning liquid to the back surface of the substrate W. Specifically, the cleaning liquid supply unit 160 supplies the cleaning liquid to a region of the back surface of the substrate W held by the substrate holding unit 120. Therefore, according to the substrate processing apparatus 100 of the present embodiment, the filler can be prevented from adhering to the back surface of the substrate W.
Further, when the substrate W is subjected to a heat treatment in a state where the filler is attached to the back surface of the substrate W, the filler may be polymerized, and it may be difficult to remove the filler. Therefore, it is preferable to remove the filler attached to the back surface of the substrate W before the substrate W is subjected to the heat treatment.
Here, the positional relationship among the substrate holding unit 120, the cleaning liquid supply unit 160, and the substrate W in the substrate processing apparatus 100 according to the present embodiment will be described with reference to fig. 3A and 3B. Fig. 3A and 3B are schematic plan views of the substrate W, the substrate holding portion 120, and the cleaning liquid supply portion 160 in the substrate processing apparatus 100 according to the present embodiment. In fig. 3A and 3B, the substrate W, the circular plate portion 121A of the substrate holding portion 120, and the members other than the nozzle 162 of the cleaning liquid supply portion 160 are omitted to avoid excessively complicating the description.
As shown in fig. 1 and 3A, the spin base 121 of the substrate holding portion 120 has a circular plate portion 121A, and the substrate W is disposed on the circular plate portion 121A such that the center of the substrate W coincides with the center of the circular plate portion 121A. A nozzle 162 of the cleaning liquid supply portion 160 is disposed radially outward of the disk portion 121A. The nozzle 162 of the cleaning liquid supply portion 160 faces in the direction indicated by the arrow in fig. 3A. The arrow in fig. 3A extends from the nozzle 162 to the boundary of the circular plate 121A of the substrate holder 120. Therefore, the nozzle 162 of the cleaning liquid supply portion 160 can discharge the cleaning liquid in the direction of the arrow in fig. 3A.
As shown in fig. 3B, the substrate holding portion 120 holds the substrate W and rotates it in the rotation direction R. The cleaning liquid supply unit 160 supplies the cleaning liquid from the nozzle 162 to the back surface of the substrate W while the substrate holding unit 120 holds and rotates the substrate W. In this case, the cleaning liquid supply portion 160 supplies the cleaning liquid toward the region held by the substrate holding portion 120 on the back surface of the substrate W. The cleaning liquid CW supplied to the back surface of the substrate W is diffused not only to the vicinity of the substrate holding portion 120 in the substrate W but also to the back surface of the substrate by centrifugal force. When the cleaning liquid supply unit 160 continues to supply the cleaning liquid CW, the cleaning liquid CW spreads over the entire back surface of the substrate W.
Next, the process of spreading the cleaning liquid CW on the back surface of the substrate W in the substrate processing apparatus 100 according to the present embodiment will be described with reference to fig. 4A to 4D. Fig. 4A to 4D are schematic plan views showing the positional relationship among the substrate holding portion 120, the cleaning liquid CW, and the substrate W in the substrate processing apparatus 100 according to the present embodiment. In fig. 4A to 4D, the vicinity of the circular plate portion 121A of the substrate holding portion 120 is enlarged.
As shown in fig. 4A, when the supply of the cleaning liquid CW is started in a state where the substrate W is rotating, the cleaning liquid CW reaches the back surface of the substrate W. When the supply of the cleaning liquid CW is started, the cleaning liquid CW discharged from the nozzle 162 reaches the point P on the back surface of the substrate W. In the following description, a point P at which the cleaning liquid CW discharged from the nozzle 162 reaches the back surface of the substrate W is referred to as an arrival point P.
In the substrate processing apparatus 100 of the present embodiment, the nozzle 162 of the cleaning liquid supply unit 160 is directed in a direction along the rotation direction of the substrate W. Preferably, the nozzle 162 of the cleaning liquid supply unit 160 is directed toward the substrate W so that a component of the traveling direction of the cleaning liquid CW immediately before reaching the substrate W projected onto the substrate W is parallel to a normal direction of the reaching point P with respect to the center of the substrate W.
Then, as shown in fig. 4B, the cleaning liquid CW is further supplied and the substrate W rotates, so that the cleaning liquid CW spreads from the arrival point P of the substrate W. At this time, the cleaning liquid CW is spread on the back surface of the substrate W in a direction in which the cleaning liquid CW is discharged from the nozzle 162 of the cleaning liquid supply portion 160, and is spread in the radial direction of the substrate W by a centrifugal force caused by the rotation of the substrate W. Therefore, the center of the spread of the cleaning liquid CW is located radially outward of the component that projects the traveling direction of the cleaning liquid CW immediately before reaching the substrate W onto the substrate W.
As shown in fig. 4C, the cleaning liquid CW further spreads from the arrival point P of the substrate W as the cleaning liquid CW is further supplied and the substrate W rotates. Focusing on the radially inner component of the substrate W in the cleaning liquid CW, the cleaning liquid CW approaches the region held by the substrate holding portion 120 on the back surface of the substrate W. Specifically, since the central portion of the back surface of the substrate W is in contact with the disk portion 121A of the spin base 121 of the substrate holding unit 120, the cleaning liquid CW approaches the boundary between the substrate W and the disk portion 121A. On the other hand, when focusing on the radial outer component of the substrate W in the cleaning liquid CW, the cleaning liquid CW is diffused along the component that projects the traveling direction of the cleaning liquid CW ejected from the nozzle 162 and just before reaching the substrate W onto the substrate W, and is diffused in the radial direction of the substrate W by the centrifugal force due to the rotation of the substrate W.
As shown in fig. 4D, the cleaning liquid CW is further supplied and the substrate W rotates, and the cleaning liquid CW further spreads from the arrival point P of the substrate W. Focusing on the radially inner component of the substrate W in the cleaning liquid CW, the cleaning liquid CW further approaches the region held by the substrate holding portion 120 on the back surface of the substrate W, but travels close to the region held by the substrate holding portion 120 without reaching the substrate holding portion 120. The distance between the cleaning liquid CW and the substrate holding portion 120 is preferably 3mm or less, and more preferably 1mm or less. Specifically, since the central portion of the back surface of the substrate W is in contact with the disk portion 121A of the spin base 121 of the substrate holding unit 120, the cleaning liquid CW travels so as to approach the boundary between the substrate W and the disk portion 121A and does not reach the substrate holding unit 120. On the other hand, when focusing on the radial outer component of the substrate W in the cleaning liquid CW, the cleaning liquid CW is diffused along the component that projects the traveling direction of the cleaning liquid CW ejected from the nozzle 162 and just before reaching the substrate W onto the substrate W, and is diffused in the radial direction of the substrate W by the centrifugal force due to the rotation of the substrate W.
Then, as the cleaning liquid CW is further supplied and the substrate W rotates, the cleaning liquid CW further spreads from the arrival point P of the substrate W. Here, although not shown, focusing on the radially inner component of the substrate W in the cleaning liquid CW, the cleaning liquid CW travels and makes one turn so as to approach the region held by the substrate holding portion 120. Specifically, since the central portion of the back surface of the substrate W is in contact with the disk portion 121A of the spin base 121 of the substrate holding unit 120, the cleaning liquid CW makes one turn so as to approach the boundary between the substrate W and the disk portion 121A. On the other hand, when focusing on the radial outer component of the substrate W in the cleaning liquid CW, the cleaning liquid CW spreads along the component projected onto the substrate W in the traveling direction of the cleaning liquid CW discharged from the nozzle 162 immediately before reaching the substrate W, reaches the outer peripheral edge of the substrate W, and spreads over the entire back surface of the substrate W.
In the substrate processing apparatus 100 of the present embodiment, the cleaning liquid CW is supplied from the cleaning liquid supply unit 160, and the cleaning liquid CW spreads over substantially the entire region of the back surface of the substrate W that is not held by the substrate holding unit 120. Therefore, even if the filler supply unit 150 supplies the filler to the substrate W, the filler attached to the back surface of the substrate W can be cleaned by the cleaning liquid CW supplied from the cleaning liquid supply unit 160.
In fig. 4A, the distance between the arrival point P and the substrate holding portion 120 is preferably determined in consideration of the spread of the cleaning liquid CW. For example, the distance between the arrival point P and the substrate holding portion 120 may be 0.5mm or more and 5.0mm or less, or may be 0.8mm or more and 4.0mm or less.
In the above description with reference to fig. 3A to 4D, the component of the cleaning liquid CW immediately before reaching the substrate W projected onto the substrate W is substantially parallel to the normal direction of the reaching point with respect to the center of the substrate W, but the present embodiment is not limited to this. In the following description of the present specification, a component of the cleaning liquid CW ejected from the nozzle 162 and projected on the substrate W in the traveling direction of the cleaning liquid CW just before reaching the substrate W may be referred to as "incident direction of the cleaning liquid CW". The direction of incidence of the cleaning liquid CW may be not parallel to the normal direction of the arrival point with respect to the center of the substrate W. Even if the positions of the nozzles 162 are the same, the incident direction of the cleaning liquid CW differs depending on the ejection distance of the cleaning liquid CW.
Next, a relationship between the incident direction of the cleaning liquid CW and the normal direction of the arrival point with respect to the center of the substrate W will be described with reference to fig. 5. Fig. 5 is a schematic view showing the arrival point of the cleaning liquid supplied from the cleaning liquid supply unit 160 to the substrate W in the substrate processing apparatus 100 according to the present embodiment.
When the cleaning liquid discharged from the nozzle 162 of the cleaning liquid supply portion 160 reaches the arrival point P1 on the substrate W, the incident direction of the cleaning liquid CW is parallel to the normal direction of the arrival point with respect to the center of the substrate W. However, the incident direction of the cleaning liquid CW may not be parallel to the normal direction of the arrival point with respect to the center of the substrate W.
For example, the incident direction of the cleaning liquid CW may be directed radially outward with respect to the normal direction of the arrival point with respect to the center of the substrate W. For example, when the cleaning liquid discharged from the nozzle 162 of the cleaning liquid supply portion 160 reaches the arrival point P2 on the substrate W, the incident direction of the cleaning liquid CW is directed radially outward with respect to the normal direction of the arrival point with respect to the center of the substrate W. In this case, the cleaning liquid CW receives a centrifugal force directed radially outward of the substrate W, and therefore, the cleaning liquid CW may not sufficiently spread radially inward. Therefore, it is preferable that the angle θ 1 between the incident direction of the cleaning liquid CW and the normal direction of the arrival point with respect to the center of the substrate W is not excessively large. For example, the angle θ 1 is preferably 30 ° or less.
Alternatively, the incident direction of the cleaning liquid CW may be directed radially inward with respect to a normal direction of an arrival point with respect to the center of the substrate W. For example, when the cleaning liquid discharged from the nozzle 162 of the cleaning liquid supply unit 160 reaches the arrival point P3 on the substrate W, the incident direction of the cleaning liquid CW is directed radially inward with respect to the normal direction of the arrival point with respect to the center of the substrate W. In this case, since the component of the cleaning liquid CW in the incident direction is a component against the centrifugal force, the cleaning liquid CW may not be sufficiently diffused toward the radial outer side of the substrate W. Further, when the incident direction of the cleaning liquid CW is directed radially inward with respect to the normal direction of the arrival point with respect to the center of the substrate W, when the cleaning liquid CW reaches the boundary between the substrate holding portion 120 and the substrate W, the cleaning liquid CW may penetrate into the substrate holding portion 120 from the gap between the substrate holding portion 120 and the substrate W, and the substrate holding function of the substrate holding portion 120 may be reduced. Therefore, it is preferable that the angle θ 2 between the incident direction of the cleaning liquid CW and the normal direction of the arrival point with respect to the center of the substrate W is not excessively large. For example, the angle θ 2 is preferably 20 ° or less.
Further, when the incident direction of the cleaning liquid CW is substantially parallel to the normal direction of the arrival point with respect to the center of the substrate W, the ejection direction of the nozzle 162, the incident direction of the cleaning liquid CW, and the ejection distance can be easily adjusted. Therefore, the incident direction of the cleaning liquid CW may be substantially parallel to the normal direction of the arrival point with respect to the center of the substrate W.
In the description with reference to fig. 1 and 3A to 5, the cleaning liquid supply unit 160 discharges the cleaning liquid from one nozzle toward the substrate W, but the present embodiment is not limited thereto. The cleaning liquid supply unit 160 may discharge the cleaning liquid from a plurality of nozzles toward the substrate W.
In the description with reference to fig. 3A and 3B, the cleaning liquid supply unit 160 discharges one kind of cleaning liquid from the nozzle toward the substrate W, but the present embodiment is not limited thereto. The cleaning liquid supply unit 160 may discharge different cleaning liquids from a plurality of nozzles onto the substrate W.
Here, the cleaning liquid supply unit 160 in the substrate processing apparatus 100 according to the present embodiment will be described with reference to fig. 6A and 6B. Fig. 6A is a schematic plan view of the substrate processing apparatus 100 according to the present embodiment. As shown in fig. 6A, the cleaning liquid supply portion 160 includes nozzles 162a, 162b, 162c, and 162d as nozzles.
The nozzles 162a, 162b, 162c, and 162d are arranged at equal intervals around the rotation axis AX of the substrate holding portion 120. Distances from the nozzles 162a, 162b, 162c, and 162d to the rotation axis AX are substantially equal to each other. The nozzles 162a and 162c are disposed at positions facing each other, and the nozzles 162b and 162d are disposed at positions facing each other.
Fig. 6B is a schematic plan view of the substrate processing apparatus 100 according to the present embodiment. As shown in fig. 6B, the nozzles 162a and 162c discharge the cleaning liquid in the rotation direction R of the substrate W. The nozzles 162b and 162d discharge the cleaning liquid in the radial direction of the substrate W. Therefore, the distance from the center of the substrate W to the point where the cleaning liquid is discharged onto the substrate W through the nozzles 162a and 162c is different from the distance from the center of the substrate W to the point where the cleaning liquid is discharged onto the substrate W through the nozzles 162b and 162 d. The distance from the center of the substrate W to the point where the cleaning liquid is discharged onto the substrate W through the nozzles 162a and 162c is relatively short, and the distance from the center of the substrate W to the point where the cleaning liquid is discharged onto the substrate W through the nozzles 162b and 162d is relatively long.
The cleaning liquid discharged from the nozzles 162a and 162c may be different from the cleaning liquid discharged from the nozzles 162b and 162 d. For example, IPA may be discharged as the cleaning liquid from the nozzles 162a and 162c, and DIW may be discharged as the cleaning liquid from the nozzles 162b and 162 d. In this case, the nozzles 162a and 162c may be coupled to a common supply pipe, and the nozzles 162b and 162d may be coupled to a common supply pipe different from the nozzles 162a and 162 c.
In the above description with reference to fig. 1 and 3A to 6B, the cleaning liquid supply unit 160 of the substrate processing apparatus 100 supplies the cleaning liquid to the inside of the rear surface of the substrate W to clean the entire rear surface of the substrate W, but the present embodiment is not limited thereto. The substrate processing apparatus 100 may be configured to supply a cleaning liquid suitable for cleaning the peripheral edge portions of the back surface, the side surfaces, and the upper surface of the substrate W from a member different from the cleaning liquid supply section 160.
Next, a substrate processing apparatus 100 further including a second cleaning liquid supply unit 170 for supplying a cleaning liquid to the back surface of the substrate W will be described with reference to fig. 7. Fig. 7 is a schematic view of the substrate processing apparatus 100 according to the present embodiment. The substrate processing apparatus 100 shown in fig. 7 has the same configuration as the substrate processing apparatus 100 described above with reference to fig. 1, except that it further includes a second cleaning liquid supply unit 170 for supplying a cleaning liquid to the back surface of the substrate W. Therefore, redundant description is omitted to avoid redundancy.
The substrate processing apparatus 100 of the present embodiment includes a second cleaning liquid supply unit 170 in addition to the chamber 110, the substrate holding unit 120, the chemical liquid supply unit 130, the rinse liquid supply unit 135, the organic solvent supply unit 140, the filler supply unit 150, and the first cleaning liquid supply unit 160. The second cleaning liquid supply portion 170 supplies a cleaning liquid to the back surface of the substrate W.
The second cleaning liquid supply portion 170 supplies the cleaning liquid to the radial outer side of the substrate W than the first cleaning liquid supply portion 160. Therefore, the cleaning liquid supplied from the second cleaning liquid supply portion 170 sufficiently reaches the side surface of the substrate W to clean the peripheral edge portions of the back surface, the side surface, and the upper surface of the substrate W. In this specification, the second cleaning liquid supply unit 170 may be simply referred to as a cleaning liquid supply unit 170.
The second cleaning liquid supply portion 170 includes a nozzle 172, a supply pipe 174, and a valve 176. The nozzle 172 faces the back surface of the substrate W and supplies a cleaning liquid toward the back surface of the substrate W. The supply pipe 174 is combined with the nozzle 172. The nozzle 172 is provided at the tip of the supply pipe 174. The supply pipe 174 is supplied with the cleaning liquid from the supply source. A valve 176 is provided in the supply pipe 174. The valve 176 opens and closes a flow path in the supply pipe 174.
In the description with reference to fig. 7, the cleaning liquid supply unit 170 discharges the cleaning liquid from one nozzle toward the substrate W, but the present embodiment is not limited thereto. The cleaning liquid supply unit 170 may discharge the cleaning liquid from a plurality of nozzles onto the substrate W.
Fig. 8 is a schematic plan view of the substrate processing apparatus 100 according to the present embodiment. In the substrate processing apparatus 100, the first cleaning liquid supply unit 160 includes nozzles 162a, 162b, 162c, and 162d as nozzles. The second cleaning liquid supply portion 170 has nozzles 172a, 172b, 172c, 172d as nozzles.
The nozzles 172a, 172b, 172c, 172d are arranged at equal intervals from each other around the rotation axis of the substrate holding portion 120. Distances from the nozzles 172a, 172b, 172c, 172d to the rotation axis AX are substantially equal to each other. The distance from the nozzles 172a, 172b, 172c, 172d to the rotation axis AX is longer than the distance from the nozzles 162a, 162b, 162c, 162d to the rotation axis AX.
The nozzles 172a and 172c are disposed at positions facing each other, and the nozzles 172b and 172d are disposed at positions facing each other. Here, the nozzles 172a, 172b, 172c, 172d discharge the cleaning liquid along the rotation direction and the radial direction of the substrate W. The discharge direction of the cleaning liquid from the nozzles 172a, 172b, 172c, 172d is represented by the sum of the rotational direction component of the substrate W and the radial direction component of the substrate W. The distance from the center of the substrate W to the point where the cleaning liquid is supplied to the substrate W by the second cleaning liquid supply part 170 is longer than the distance from the center of the substrate W to the point where the cleaning liquid is supplied to the substrate W by the first cleaning liquid supply part 160. For example, IPA may be discharged from the nozzles 172a, 172b, 172c, and 172d as the cleaning liquid. Alternatively, DIW may be discharged from the nozzles 172a, 172b, 172c, 172d as the cleaning liquid.
Further, it is preferable that the cleaning liquid supplied by the second cleaning liquid supply part 170 shown in fig. 7 and 8 is at least partially different from the cleaning liquid supplied by the first cleaning liquid supply part 160. However, the cleaning liquid supplied by the second cleaning liquid supply portion 170 may be the same as the cleaning liquid supplied by the first cleaning liquid supply portion 160.
Next, the cleaning liquid supply portions 160 and 170 in the substrate processing apparatus 100 according to the present embodiment will be described with reference to fig. 9A to 9C. Fig. 9A is a schematic perspective view of the substrate holding unit 120 and the cleaning liquid supply units 160 and 170 in the substrate processing apparatus 100 according to the present embodiment. As shown in fig. 9A, the nozzles 162a, 162b, 162c, and 162d of the cleaning liquid supply unit 160 are disposed in the vicinity of the substrate holding unit 120, and the nozzles 172a, 172b, 172c, and 172d of the cleaning liquid supply unit 170 are disposed at positions distant from the substrate holding unit 120.
Fig. 9B is a partially enlarged plan view of the substrate holding unit 120 and the cleaning liquid supply units 160 and 170 in the substrate processing apparatus 100 according to the present embodiment. As shown in fig. 9B, the tip of the nozzle 162a faces upward in the circumferential direction, and the tip of the nozzle 172a faces upward in a direction in which a circumferential component and a radial component are combined.
Fig. 9C is a partially enlarged sectional side view of the cleaning liquid supply unit 160 in the substrate processing apparatus 100 according to the present embodiment. As shown in fig. 9C, the supply pipe 164 of the cleaning liquid supply unit 160 extends perpendicularly to the substrate W. The nozzle 162 is provided at the tip of the supply pipe 164. The nozzle 162 is provided so as to be inclined at a predetermined angle θ with respect to the horizontal direction at the tip of the supply pipe 164. For example, the angle θ may be 5 ° or more and 25 ° or less, or may be 10 ° or more and 20 ° or less. The distance between the nozzle 162 and the substrate W along the discharge direction of the nozzle 162 may be 1mm to 20mm, or 1mm to 15 mm.
Next, the substrate processing apparatus 100 according to the present embodiment will be described with reference to fig. 10A to 11. The substrate processing apparatus 100 of the present embodiment is different from the substrate processing apparatus 100 described with reference to fig. 1 and 7 in that it includes a plurality of chambers 110 (fig. 1 and 7) and further includes a chamber 210. Therefore, redundant description is omitted to avoid redundancy.
Fig. 10A is a schematic plan view of the substrate processing apparatus 100. Fig. 10B is a schematic side view for explaining the structure of the substrate processing apparatus 100. The substrate processing apparatus 100 is a sheet-by-sheet apparatus that performs various processes such as a cleaning process and an etching process on substrates W such as semiconductor wafers one by one.
As shown in fig. 10A and 10B, the substrate processing apparatus 100 includes a plurality of liquid processing units 100C provided in units of chambers 110, a plurality of heat processing units 100H provided in units of chambers 210, a plurality of load ports LP, an indexer robot IR, a center robot CR, and a control unit 220. A carrier C for accommodating a plurality of substrates W is placed on the load port LP. The control unit 220 controls the load port LP, the indexer robot IR, the center robot CR, the liquid processing unit 100C, and the heat processing unit 100H. As shown in fig. 12, the control unit 220 includes a processor 222 and a memory 224.
Each load port LP accommodates a plurality of substrates W in a stacked manner. The indexer robot IR transfers the substrate W between the load port LP and the center robot CR. The center robot CR transfers the substrate W between the indexer robot IR and the liquid processing unit 100C. Each of the liquid processing units 100C discharges a processing liquid to the substrate W to process the substrate W.
Specifically, the plurality of liquid treatment units 100C form a plurality of treatment towers TW (four treatment towers TW in fig. 10) arranged so as to surround the center robot CR in a plan view. Each of the processing towers TW includes a plurality of liquid processing units 100C and heat processing units 100H stacked vertically.
The substrate processing apparatus 100 further includes a transfer path 230 extending in a horizontal direction. The conveyance path 230 extends linearly from the indexer robot IR toward the center robot CR. The plurality of processing towers TW are symmetrically arranged with respect to the conveyance path 230. The plurality of processing towers TW are arranged on both sides of the conveyance path 230 along the direction in which the conveyance path 230 extends. In the present embodiment, two processing towers TW are disposed on both sides of the conveyance path 230.
Of the plurality of processing units TW, two processing units TW closer to the indexer robot IR are referred to as a first processing unit TWA and a second processing unit TWB, respectively. The first processing tower TWA and the second processing tower TWB face each other via the conveyance path 230. Two of the plurality of processing columns TW that are away from the indexer robot IR are referred to as a third processing column TWC and a fourth processing column TWD, respectively. The third processing tower TWC and the fourth processing tower TWD face each other through the conveyance path 230.
The first processing tower TWA and the third processing tower TWC are arranged in the transfer path 230. The second processing tower TWB and the fourth processing tower TWD are arranged in the transfer path 230.
The first treatment tower TWA includes two liquid treatment units 100C and a heating treatment unit 100H. The second to fourth treatment towers TWB to TWD include two liquid treatment units 100C and two heat treatment units 100H, respectively, as in the first treatment tower TWA. The liquid processing units 100C have the same configuration. The plurality of heat treatment units 100H have the same structure.
As shown in fig. 10B, a liquid treatment unit 100C, a heat treatment unit 100H, and a liquid treatment unit 100C are stacked in the first treatment tower TWA, and the heat treatment unit 100H is disposed between the two liquid treatment units 100C. Similarly to the first treatment tower TWA, the liquid treatment units 100C, the heat treatment unit 100H, and the liquid treatment unit 100C are stacked on the second treatment tower TWB to the fourth treatment tower TWD, and the heat treatment unit 100H is disposed between the two liquid treatment units 100C.
Fig. 11 is a schematic view of the heat treatment unit 100H in the substrate treatment apparatus 100 according to the present embodiment. The heat treatment unit 100H includes a chamber 210, a substrate holder 200 that holds the substrate W, a heater 201 (substrate heating unit) that heats the substrate W, a cooling unit 202 that cools the substrate W, and a plurality of lift pins 203 that move the substrate W up and down.
The substrate holder 200 is a plate-shaped member that supports the substrate W from below so that the substrate W is in a horizontal posture. The substrate holder 20 is included in a substrate holding unit that holds the substrate W horizontally. The substrate holder 200 is accommodated within the chamber 210.
The heater 201 and the cooling unit 202 are built in the substrate holder 200. The heater 201, the cooling unit 202, and the substrate holder 200 constitute a temperature adjustment plate. The heater 201 heats the substrate W by heat transfer or heat radiation. A heater energization unit 209 that supplies electric power to the heater 201 is connected to the heater 201. The heater 201 is capable of heating the substrate W to about 250 ℃.
An electromagnetic wave irradiation means for heating the substrate W by irradiating electromagnetic waves (ultraviolet rays, infrared rays, microwaves, X-rays, laser beams, etc.) may be used instead of the heater 201. The cooling unit 202 may have a cooling passage passing through the substrate holder 200. The cooling unit 202 may also have electronic cooling elements.
The plurality of lift pins 203 are inserted into the plurality of through holes penetrating the substrate holder 200. The plurality of lift pins 203 are lifted and lowered between the upper position and the lower position by the lift pin lifting unit 216. When the plurality of lift pins 203 are located at the upper position, the substrate W and the substrate holder 200 are separated upward. When the plurality of lift pins 203 are located at the lower position, the upper end portions of the plurality of lift pins 203 are retracted into the substrate holder 200. Therefore, the substrate W is supported from below by the substrate holder 200.
The chamber 210 has: a base portion 211; the movable lid portion 212 moves up and down with respect to the base portion 211. An internal space 213 of the chamber 210 is defined by the base portion 211 and the movable lid portion 212. The movable lid 212 is moved up and down between the upper position and the lower position by the lid driving unit 214. When the movable lid portion 212 is located at the lower position, the base portion 211 is in contact with the movable lid portion 212. Thereby, the chamber 210 is closed. When the movable lid portion 212 is located at the upper position, the center robot CR can enter the internal space 213 of the chamber 210.
Fig. 12 is a block diagram of the substrate processing apparatus 100 according to the present embodiment. As shown in fig. 12, the control unit 220 includes a microcomputer and controls a control target provided in the substrate processing apparatus 100 according to a predetermined program.
Specifically, the control unit 220 includes a processor 222 and a memory 224 in which programs are stored. The control unit 220 is configured to execute various controls for substrate processing by executing programs by the processor 222. Specifically, the control unit 220 controls the operations of the indexer robot IR, the center robot CR, the shutter opening and closing unit 116, the lid drive unit 214, the lift pin raising and lowering unit 216, the heater energization unit 209, the first shutter raising and lowering unit 193L, the second shutter raising and lowering unit 194L, the nozzle moving unit 158, and the valves 128, 133, 138, 146, 156, and 166.
Next, substrate processing by the substrate processing apparatus 100 will be described with reference to fig. 1, 7, and 10A to 15C. Fig. 13 is a flowchart for explaining substrate processing by the substrate processing apparatus 100, and mainly shows processing realized by the control unit 220 executing a program. Fig. 14A to 14C and fig. 15A to 15C are schematic views for explaining substrate processing.
The substrate processing apparatus 100 continuously processes the substrates W. In the continuous processing of the substrates W, the heater 201 of the heat processing unit 100H is maintained in a state of being energized by the heater energizing unit 209 (see fig. 12).
First, as shown in fig. 14A, the substrate W is carried from the carrier C to the liquid processing unit 100C by the indexer robot IR and the center robot CR (fig. 10A and 10B) (first carrying-in step: S102). The center robot CR enters the liquid processing unit 100C through the entrance 112 (fig. 1 and 7). The substrate W carried into the liquid treatment unit 100C is transferred from the center robot CR to the substrate holding portion 120. The substrate W is placed on the spin base 121 with the upper surface facing upward.
Then, the valve 128 is opened. Thus, the substrate W is held by the substrate holding portion 120 in a state where the back surface of the substrate W is in contact with the upper surface of the spin base 121 (first substrate holding step). Then, the substrate W is maintained in a horizontal state until the substrate W is carried out of the liquid treatment unit 100C by the center robot CR.
Then, as shown in FIG. 14B, the electric motor 123 starts rotating the substrate W (substrate rotating step: S104). Then, the first and second shutter lifting/lowering units 193L and 194L lift the first and second shutters 193 and 194 to the sides of the substrate W.
Then, as shown in fig. 14C, the valve 133 is opened to start the supply of the chemical solution from the nozzle 131 of the chemical solution supply unit 130 to the upper surface of the substrate W. The chemical solution supplied to the upper surface of the substrate W spreads over the entire upper surface of the substrate W by centrifugal force. Thereby, the substrate W is processed by the chemical solution (chemical solution processing step S106). The chemical solution discharged to the outside of the substrate W by the centrifugal force is received by the first shutter 193.
After the chemical liquid is supplied to the upper surface of the substrate W for a predetermined time, the valve 133 is closed, and the first shutter 193L moves the first shutter 193L to the lower position to open the valve 146 before the organic solvent is discharged. Thereby, as shown in FIG. 15A, the supply of the organic solvent from the nozzle 142 of the organic solvent supply unit 140 to the upper surface is started (organic solvent supply step: S108). The organic solvent supplied to the upper surface is spread over the entire upper surface by centrifugal force. Thereby, the chemical solution on the substrate W is replaced with the organic solvent. The chemical solution and the organic solvent discharged to the outside of the substrate W by the centrifugal force are stopped by the second stopper 194.
The nozzle moving unit 158 moves the nozzle 152 to the central position during the supply of the organic solvent to the upper surface. After the organic solvent is supplied to the upper surface of the substrate W for a predetermined period of time, the valve 146 is closed and the valve 156 is opened. Thereby, as shown in FIG. 15B, the supply of the filler from the nozzle 152 of the filler supply unit 150 to the upper surface of the substrate W is started (filler supply step: S110). The filling material supplied to the upper surface of the substrate W spreads over the entire upper surface of the substrate W by centrifugal force. Thereby, the organic solvent on the substrate W is replaced with the filler. As a result, the upper surface of the substrate W is covered with the liquid film of the filler. The organic solvent and the filler discharged to the outside of the substrate W by the centrifugal force are stopped by the second baffle 194. After the completion of the discharge of the filler, the nozzle 152 moves to the retreat position before the discharge of the cleaning liquid.
The filler supplied from the nozzle 152 to the upper surface of the substrate W may spread from the peripheral edge portion of the upper surface to the rear surface of the substrate W. The filler scattered out of the upper surface of the substrate W may be scattered from the first and second shutters 193 and 194 and may adhere to the peripheral edge of the rear surface. Therefore, after the upper surface of the substrate W is covered with the liquid film of the filler, the valves 166 and 176 are opened. Thus, as shown in FIG. 15C, the cleaning liquid is supplied from the nozzle 162 of the cleaning liquid supply unit 160 and the nozzle 172 of the cleaning liquid supply unit 170 to the back surface of the substrate W (cleaning liquid supply step: S112). The cleaning liquid discharged from the nozzles 162 and 172 is supplied to the back surface of the substrate W, thereby cleaning the back surface of the substrate W. Therefore, contamination of the back surface by the filler can be suppressed. Thus, the cleaning liquid supply portion 160 functions as a cleaning unit.
Then, the valves 166 and 176 are closed, and the second shutter 194 is moved to the lower position. Then, the electric motor 123 stops the rotation of the substrate W. Then, valve 128 is closed.
Then, the shutter 114 is opened again by the shutter opening/closing unit 116 (fig. 1 and 7). Then, the center robot CR enters the liquid processing unit 100C through the entrance/exit 112 and carries out the substrate W from the liquid processing unit 100C (first carrying-out step S114).
Then, the substrate W is carried into the heat treatment unit 100H (FIG. 11) by the center robot CR (second carrying-in step: S116). At this time, the movable lid 212 is positioned at the upper position by the lid driving unit 214, and the center robot CR can enter the heat treatment unit 100H. Then, the substrate W is held horizontally by the substrate holder 200 (second substrate holding step). Specifically, after the substrate W is transferred to the plurality of lift pins 203 disposed at the upper position, the lift pins 203 are moved to the lower position by the lift pin lift unit 216. Thereby, the substrate W is placed on the upper surface of the substrate holder 200. Then, the center robot CR is retracted from the heat treatment unit 100H. Then, the cover driving unit 214 positions the movable cover 212 at the lower position. Thereby closing the chamber 210.
In the heat treatment unit 100H, the substrate W is heated by the heater 201 built in the substrate holder 200 (substrate heating step: S118). Thereby, the filler covering the upper surface of the substrate W is cured (curing step). Specifically, the solvent contained in the filler evaporates, and only the solid component remains on the upper surface. Thereby, a coating film having sublimation property is formed to cover the upper surface of the substrate W. By performing the curing step, the cover film can be reliably formed.
The peripheral edge cleaning step is performed before the curing step. Therefore, the peripheral edge portion of the back surface is cleaned before the filler is cured in the curing step. Therefore, the peripheral edge portion of the back surface can be cleaned more easily than in the case where the peripheral edge portion of the back surface is cleaned after the filler is cured. Then, the movable lid portion 212 is positioned at the upper position by the lid portion driving unit 214 (fig. 12), and the plurality of lift pins 203 are moved to the upper position by the lift pin lifting and lowering unit 216. Then, the center robot CR receives the substrate W from the plurality of lift pins 203 and carries out the substrate W from the heat treatment unit 100H (S120: a second carrying-out step). The substrate W can be processed as described above.
The rotation speed of the substrate W may be appropriately changed according to the processing of the substrate W. For example, after the filler is supplied to the substrate W, the rotation speed of the substrate W may be appropriately changed.
Next, an embodiment in which the rotation speed of the substrate W is changed after the filler is supplied to the substrate W will be described with reference to fig. 16. Fig. 16 is a flowchart for explaining a substrate processing method in the substrate processing apparatus according to the present embodiment. Note that, the substrate processing method in the substrate processing apparatus according to the present embodiment is similar to the flowchart shown in fig. 13 except for the details of the filler supplying step (S110) and the cleaning liquid supplying step (S112), and therefore, only the filler supplying step (S110) and the cleaning liquid supplying step (S112) in the flowchart are shown in fig. 16.
As shown in fig. 16, in the filler supplying step (S110), the valve 156 is opened in a state where the electric motor 123 rotates the substrate W, and the filler starts to be ejected from the nozzle 152 of the filler supplying portion 150 toward the upper surface of the substrate W, and a predetermined amount of the filler is ejected (filler ejecting step: S110 a). Then, the valve 156 is closed, and the discharge of the filler from the nozzle 152 to the upper surface of the substrate W is stopped.
Then, the electric motor 123 rotates the substrate W at the first rotation speed. The thickness of the filler layer is determined by the discharge amount of the filler and the first rotation speed (film thickness determining step S110 b). For example, the first rotation speed is 1000rpm or more and 3000rpm or less.
Then, the electric motor 123 rotates the substrate W at the second rotation speed. The second rotational speed is lower than the first rotational speed. By rotating the substrate W at the second relatively low speed, the filler can be spread over the entire surface of the substrate W even if the substrate W has a fine structure (penetrating step S110 c). For example, the second rotation speed is 10rpm to 500 rpm.
Then, the electric motor 123 rotates the substrate W at the third rotation speed. The third rotational speed is higher than the second rotational speed. By rotating the substrate W at the third rotation speed, which is relatively high speed, the filler remaining on the substrate W can be blown off, and the substrate W can be dried (drying step: S110 d). For example, the third rotation speed is 1000rpm or more and 3000rpm or less.
Then, the cleaning liquid is supplied from the nozzle 162 of the cleaning liquid supply portion 160 to the back surface of the substrate W. The cleaning liquid discharged from the nozzle 162 is supplied to the back surface of the substrate W to clean the back surface of the substrate W (cleaning liquid supply step: 112).
As described above with reference to fig. 7, when the substrate processing apparatus 100 includes the second cleaning liquid supply unit 170 in addition to the first cleaning liquid supply unit 160, the cleaning liquid discharged from the nozzle 162 is first supplied to the inside of the rear surface of the substrate W to clean the inside of the rear surface of the substrate W (cleaning liquid inside supply step: S112 a). In this case, the electric motor 123 rotates the substrate W at the fourth rotation speed. The fourth rotational speed is lower than the third rotational speed. By rotating the substrate W at the relatively low fourth rotation speed, the back surface of the substrate W can be reliably cleaned with the cleaning liquid supplied to the inside of the back surface of the substrate W. For example, the fourth rotation speed is 10rpm or more and 200rpm or less.
Then, the cleaning liquid discharged from the nozzle 172 is supplied to the back surface of the substrate W, thereby cleaning the outer side and the side surface of the back surface of the substrate W (cleaning liquid outside supplying step: S112 b). In this case, the electric motor 123 rotates the substrate W at the fifth rotation speed. The fifth rotational speed is higher than the fourth rotational speed. By rotating the substrate W at the relatively high fifth rotation speed, the peripheral edge portions of the back surface side, the side surfaces, and the upper surface of the substrate W can be reliably cleaned with the cleaning liquid supplied to the outside of the back surface of the substrate W. For example, the fifth rotation speed is 50rpm or more and 2000rpm or less.
In the above description with reference to fig. 16, the cleaning liquid inside supplying step (S112a) is followed by the cleaning liquid outside supplying step (S112b), but the present embodiment is not limited thereto. The cleaning liquid inside supplying step (S112a) may be performed after the cleaning liquid outside supplying step (S112 b). However, the cleaning liquid supplied to the rotating substrate W receives a centrifugal force from the inside toward the outside of the substrate W. Therefore, the inner, outer, and side surfaces of the substrate W can be sufficiently cleaned by performing the cleaning liquid outside supplying step (S112b) after the cleaning liquid inside supplying step (S112 a).
In the above description with reference to fig. 16, the cleaning liquid inside supplying step (S112a) is followed by the cleaning liquid outside supplying step (S112b), but the present embodiment is not limited thereto. The cleaning liquid may be supplied to both the inside and the outside of the substrate W.
Fig. 17 is a flowchart for explaining a substrate processing method in the substrate processing apparatus 100 according to the present embodiment. The substrate processing method in the substrate processing apparatus according to the present embodiment is similar to the flowcharts shown in fig. 13 and 16 except for the details of the cleaning liquid supply step (S112), and therefore, only the cleaning liquid supply step (S112) is shown in fig. 17.
As shown in fig. 17, the cleaning liquid discharged from the nozzle 162 is first supplied to the back surface of the substrate W to clean the inner side of the back surface of the substrate W (cleaning liquid inside supplying step: S112 a).
Then, the cleaning liquid is continuously discharged from the nozzle 162, and the discharge of the cleaning liquid from the nozzle 172 is started. By discharging the cleaning liquid from the nozzles 162 and 172 toward the back surface of the substrate W, the outer side and the side surface of the substrate W can be cleaned simultaneously from the inner side of the back surface (cleaning liquid both-side supplying step: S112b 1).
As described with reference to fig. 16 and 17, the outer side and the side surfaces of the back surface of the substrate W are preferably cleaned after the cleaning liquid inside supply step (S112 a). Accordingly, after the filler discharge step (S110a), the back surface of the substrate W can be effectively cleaned and protected while the contamination of the environment involved in the chamber 110 and/or the spatter from the first and second shutters 193 and 194 are likely to occur. Further, by cleaning the inner side of the back surface of the substrate W before cleaning the outer side and the side surface of the back surface of the substrate W, the cleaning liquid spreading over the upper surface of the substrate W can be maintained clean.
In the above description with reference to fig. 16 and 17, the cleaning liquid inside supply step (S112a) is performed after the drying step (S110d), but the present embodiment is not limited to this. The inside cleaning liquid supply step (S112a) may be performed simultaneously with the drying step (S110 d). For example, after the soaking step (110c), the cleaning liquid inside supplying step (S112a) may be performed simultaneously with the drying step (S110d), and then the cleaning liquid outside supplying step (112b) may be performed.
In the above description with reference to fig. 16 and 17, the cleaning liquid inside supply step (S112a) is performed after the drying step (S110d), but the present embodiment is not limited thereto. The inside cleaning liquid supply step (S112a) may be performed before the drying step (S110 d). For example, the cleaning liquid inside supplying step (S112a) may be performed simultaneously with the soaking step (110c), and then the cleaning liquid outside supplying step (112b) may be performed after the drying step (S110d) is performed.
In the substrate processing apparatus 100, it is preferable that the inactive gas is supplied to the back surface of the substrate W after the chemical liquid is supplied to the substrate W. In particular, the substrate processing apparatus 100 preferably supplies the inert gas to the back surface of the substrate W from before the filler is supplied to the substrate W to after the cleaning liquid is supplied.
Next, a substrate processing apparatus 100 including an inert gas supply unit for supplying an inert gas to the back surface of the substrate W will be described with reference to fig. 18. Fig. 18 is a schematic view of the substrate processing apparatus 100 according to the present embodiment. The substrate processing apparatus 100 shown in fig. 18 has the same configuration as the substrate processing apparatus 100 described above with reference to fig. 1, except that it further includes a cover plate 129 and an inert gas supply unit 180 for supplying an inert gas to the back surface of the substrate W. Therefore, redundant description is omitted to avoid redundancy.
The inert gas supply unit 180 supplies an inert gas to the back surface of the substrate W. For example, the inert gas includes nitrogen.
The inert gas supply unit 180 includes a gas supply port 182, a supply pipe 184, and a valve 186. The inert gas is supplied from the gas supply port 182 through a space between the substrate W and the cover plate 129 toward the back surface of the substrate W. The gas supply port 182 is provided annularly along the outer peripheral edge of the rotating shaft 122 of the substrate holding portion 120. The supply pipe 184 is coupled to the gas supply port 182. The gas supply port 182 is provided at the tip of the supply pipe 184. The inert gas is supplied from the supply source to the supply pipe 184. Valve 186 is disposed in supply line 184. The valve 186 opens and closes a flow path in the supply pipe 184.
Fig. 19 is a flowchart for explaining the substrate processing method of the present embodiment in which an inert gas is supplied. As shown in FIG. 19, the inert gas is supplied to the back surface of the substrate W during a period from the start of the chemical solution treatment step (S106) to the end of the cleaning solution supply step (S112) (S105: inert gas supply step).
The inert gas is supplied to the back surface of the substrate W in the chemical solution treatment step (S106), the organic solvent supply step (S108), the filler supply step (S110), and the cleaning liquid supply step (S112). In particular, in the filler supply step (S110) and the cleaning liquid supply step (S112), the inert gas is supplied to the back surface of the substrate W, whereby the filler can be prevented from adhering to the back surface of the substrate W.
In the above description with reference to fig. 19, the inert gas is supplied from the start of the chemical liquid treatment step (S106) to the end of the cleaning liquid supply step (S112). The flow rate of the inert gas may be constant or may be changed during the period from the start of the chemical liquid treatment step (S106) to the end of the cleaning liquid supply step (S112).
Fig. 20 is a flowchart for explaining the substrate processing method of the present embodiment in which the flow rate of the inert gas is changed. As shown in fig. 20, in the chemical solution treatment step (S106) and the organic solvent supply step (S108), the inert gas is supplied at a relatively weak first flow rate (S105 a: inert gas supply step).
Then, in the filler supply step (S110), the inert gas is set to a second flow rate that is greater than the first flow rate (S105 b: inert gas supply step). Then, in the cleaning liquid supply step (S112), the inert gas is set to the third flow rate (S105 c: inert gas supply step). The third flow rate of the inert gas in the cleaning liquid supply step (S112) may be larger than the second flow rate of the inert gas in the filler supply step (S110).
The flow rate of the inert gas in the filling material supplying step (S110) is relatively smaller than the flow rate of the inert gas in the cleaning liquid supplying step (S112), and thus the filling material can be appropriately supplied to the upper surface of the substrate W. In addition, since the flow rate of the inert gas in the cleaning liquid supply step (S112) is relatively large, the filler can be prevented from adhering to the back surface of the substrate W.
However, the second flow rate of the inert gas in the filler supplying step (S110) may be equal to the third flow rate of the inert gas in the cleaning liquid supplying step (S112).
In the above description with reference to fig. 7 to 9A and 15A to 15C, the substrate processing apparatus 100 includes the nozzle 162 that discharges the cleaning liquid for cleaning the inner side of the back surface of the substrate W and the nozzle 172 that discharges the cleaning liquid for cleaning the outer side and the side surface of the back surface of the substrate W, but the present embodiment is not limited thereto. In the substrate processing apparatus 100, the nozzle 162 is moved relative to the substrate W, and the cleaning liquid discharged from the nozzle 162 can clean not only the inner side of the substrate W but also the outer side and the side surface of the substrate W.
Next, the substrate processing apparatus 100 in which the nozzle 162 is movable will be described with reference to fig. 21. Fig. 21 is a schematic view of the substrate processing apparatus 100 according to the present embodiment. The substrate processing apparatus 100 has the same configuration as the substrate processing apparatus 100 described above with reference to fig. 1, except that the first cleaning liquid supply unit 160 further includes a nozzle moving unit 168 for moving the nozzle 162. Therefore, redundant description is omitted to avoid redundancy.
The first cleaning liquid supply portion 160 includes a nozzle moving portion 168 in addition to the nozzle 162, the supply pipe 164, and the valve 166. The nozzle moving unit 168 moves the nozzle 162. The nozzle moving part 168 includes a motor or a cylinder.
The nozzle moving part 168 moves the nozzle 162 in the horizontal direction. The nozzle 162 discharges the cleaning liquid to the inside of the rear surface of the substrate W. The nozzle 162 is moved relative to the substrate W by the nozzle moving unit 168, and then discharges the cleaning liquid to the outside of the rear surface of the substrate W.
The nozzle moving unit 168 may further include a temperature adjusting unit for adjusting the temperature of the cleaning liquid. For example, the nozzle moving part 168 includes a heater. The temperature of the cleaning liquid discharged from the nozzle 162 can be raised by the heater.
Further, a case where the nozzle moving unit 168 moves one nozzle 162 will be described with reference to fig. 21, but the present embodiment is not limited to this. Referring to fig. 6A and 8 to 9C, when there are a plurality of nozzles 162 as described above, the nozzle moving unit 168 preferably can independently move each of the plurality of nozzles 162.
Next, a substrate processing method in the substrate processing apparatus 100 according to the present embodiment will be described with reference to fig. 16 and 21 to 22D. Fig. 22A to 22D are schematic diagrams for explaining substrate processing in the substrate processing apparatus 100 according to the present embodiment.
As shown in fig. 22A, the nozzle 152 ejects the filler material toward the substrate W (S110 a: filler material ejecting step). At this time, the substrate holding portion 120 rotates the substrate W at the first rotation speed.
As shown in fig. 22B, the substrate holding unit 120 rotates the substrate W at the first rotation speed to determine the thickness of the filler (S110B: film thickness determining step), rotates the substrate W at the second rotation speed to soak the filler (S110 c: soaking step), and then rotates the substrate W at the third rotation speed to dry the substrate W (S110 d: drying step). Any of the film thickness determining step (S110b), the soaking step (S110c), and the drying step (S110d) may be omitted.
As shown in fig. 22C, the nozzle 162 supplies the cleaning liquid to the back surface of the substrate W (S112 a: cleaning liquid inside supply step). At this time, the nozzle moving unit 168 moves the nozzle 162 inward of the back surface of the substrate W, and the nozzle 162 discharges the cleaning liquid inward of the back surface of the substrate W. Therefore, the cleaning liquid discharged from the nozzle 162 is supplied to the inside of the rear surface of the substrate W, thereby cleaning the inside of the rear surface of the substrate W. At this time, the substrate holding unit 120 may rotate the substrate W at the fourth rotation speed.
As shown in fig. 22D, the nozzle 162 supplies the cleaning liquid to the back surface of the substrate W (S112 b: cleaning liquid outside supply step). The nozzle moving unit 168 moves the nozzle 162 from the inside toward the outside of the back surface of the substrate W, and the nozzle 162 discharges the cleaning liquid toward the back surface of the substrate W. Therefore, the cleaning liquid discharged from the nozzle 162 is supplied to the back surface of the substrate W, and the outer side and the side surface of the back surface of the substrate W are cleaned from the inner side. At this time, the substrate holding unit 120 may rotate the substrate W at the fifth rotation speed. The nozzle moving unit 168 may move the nozzle 162 to the outside of the substrate W.
The embodiments of the present invention are explained above with reference to fig. 1 to 22D. However, the present invention is not limited to the above-described embodiments, and can be implemented in various forms without departing from the scope of the present invention. In addition, various inventions can be formed by appropriately combining a plurality of structural members disclosed in the above embodiments. For example, several structural members may be deleted from all the structural members shown in the embodiments. Moreover, the structural members in the different embodiments may also be combined as appropriate. For easy understanding, the respective structural members are individually and schematically shown in the drawings, and the thickness, length, number, interval, and the like of the respective structural members shown in the drawings may be different from those of the actual ones in order to facilitate the production of the drawings. The materials, shapes, dimensions, and the like of the respective structural members shown in the above-described embodiments are examples, and are not particularly limited, and various modifications can be made within the scope not substantially departing from the effects of the present invention.
Industrial applicability of the invention
The present invention can be applied to a substrate processing apparatus and a substrate processing method for processing a substrate.

Claims (20)

1. A substrate processing apparatus for processing a substrate having an upper surface and a back surface,
the substrate processing apparatus includes:
a substrate holding unit that holds a central portion of the back surface of the substrate and rotates the substrate;
a filler supplying section configured to supply a filler to the upper surface of the substrate held by the substrate holding section;
a first cleaning liquid supply portion for supplying a cleaning liquid to the back surface of the substrate held by the substrate holding portion,
the first cleaning liquid supply portion supplies the cleaning liquid toward a region held by the substrate holding portion in the back surface of the substrate.
2. The substrate processing apparatus according to claim 1,
the substrate holding portion is attracted to a central portion of the back surface of the substrate.
3. The substrate processing apparatus according to claim 1 or 2,
the cleaning liquid supplied from the first cleaning liquid supply portion to the back surface of the substrate spreads on the back surface of the substrate and approaches a region held by the substrate holding portion in the back surface of the substrate.
4. The substrate processing apparatus according to claim 1 or 2,
the first cleaning liquid supply unit has at least one nozzle that ejects the cleaning liquid.
5. The substrate processing apparatus according to claim 4,
the at least one nozzle faces the substrate such that a component of a traveling direction of the cleaning liquid before reaching the back surface of the substrate, which is projected onto the back surface of the substrate, is parallel to a normal direction of an arrival point with respect to a center of the substrate.
6. The substrate processing apparatus according to claim 4,
the at least one nozzle includes a first nozzle and a second nozzle,
a distance from the center of the substrate to a point where the cleaning liquid is discharged toward the substrate through the first nozzle is different from a distance from the center of the substrate to a point where the cleaning liquid is discharged toward the substrate through the second nozzle.
7. The substrate processing apparatus of claim 6,
the cleaning liquid ejected from the first nozzle is different from the cleaning liquid ejected from the second nozzle.
8. The substrate processing apparatus according to claim 4,
the substrate processing apparatus further includes a nozzle moving unit configured to move the at least one nozzle in a horizontal direction.
9. The substrate processing apparatus according to claim 1 or 2,
the substrate processing apparatus further includes a second cleaning liquid supply unit that supplies a cleaning liquid for cleaning a side surface of the substrate.
10. The substrate processing apparatus according to claim 9,
a distance from the center of the substrate to a point where the cleaning liquid is supplied to the substrate by the second cleaning liquid supply portion is longer than a distance from the center of the substrate to a point where the cleaning liquid is supplied to the substrate by the first cleaning liquid supply portion.
11. The substrate processing apparatus according to claim 1 or 2,
the cleaning solution comprises at least one of isopropanol, propylene glycol monomethyl ether acetate, 1-ethoxy-2-propanol and acetone.
12. The substrate processing apparatus according to claim 1 or 2,
the substrate processing apparatus further includes an inert gas supply unit configured to supply an inert gas to the back surface of the substrate.
13. A substrate processing method for processing a substrate having an upper surface and a back surface,
the substrate processing method includes:
rotating the substrate while holding a central portion of the back surface of the substrate;
a filler supply step of supplying a filler to the upper surface of the substrate; and
a cleaning liquid supply step of supplying a cleaning liquid to the back surface of the substrate after the filler supply step,
the cleaning liquid supplying step includes a step of supplying the cleaning liquid toward a region of the back surface of the substrate where the substrate is held.
14. The substrate processing method according to claim 13, wherein,
in the cleaning liquid supply step, the cleaning liquid supplied to the back surface of the substrate spreads over the back surface of the substrate and approaches a region of the back surface of the substrate where the substrate is held.
15. The substrate processing method according to claim 13 or 14, wherein,
in the cleaning liquid supply step, a component of a traveling direction of the cleaning liquid before reaching the rear surface of the substrate, which is projected onto the rear surface of the substrate, is parallel to a normal direction of an arrival point with respect to a center of the substrate.
16. The substrate processing method according to claim 13 or 14, wherein,
the filler supplying step includes:
discharging the filler material onto the upper surface of the substrate;
rotating the substrate at a first rotational speed after the filler is ejected;
rotating the substrate at a second rotation speed lower than the first rotation speed after rotating the substrate at the first rotation speed;
and rotating the substrate at a third rotation speed higher than the second rotation speed after rotating the substrate at the second rotation speed.
17. The substrate processing method of claim 16, wherein,
the cleaning liquid supply step includes:
a step in which a first cleaning liquid supply unit supplies the cleaning liquid toward a region in the back surface of the substrate where the substrate is held;
a step in which the second cleaning liquid supply unit supplies a cleaning liquid for cleaning the side surface of the substrate;
rotating the substrate at a fourth rotation speed lower than the third rotation speed while the first cleaning liquid supply unit supplies the cleaning liquid;
and rotating the substrate at a fifth rotation speed higher than the fourth rotation speed while the second cleaning liquid supply unit supplies the cleaning liquid.
18. The substrate processing method according to claim 13 or 14, wherein,
the substrate processing method further includes: and a step of supplying an inert gas to the back surface of the substrate from before the step of starting the supply of the filler to after the step of supplying the cleaning liquid.
19. The substrate processing method of claim 18, wherein,
in the step of supplying the inert gas, a flow rate of the inert gas in the step of supplying the filler is lower than a flow rate of the inert gas in the step of supplying the cleaning liquid.
20. The substrate processing method according to claim 13 or 14, wherein,
in the cleaning liquid supply step, the cleaning liquid is supplied toward a region of the back surface of the substrate where the substrate is held, and then, a cleaning liquid for cleaning a side surface of the substrate is supplied.
CN201910987234.2A 2018-10-17 2019-10-17 Substrate processing apparatus and substrate processing method Pending CN111063633A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018196015A JP7160624B2 (en) 2018-10-17 2018-10-17 SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
JP2018-196015 2018-10-17

Publications (1)

Publication Number Publication Date
CN111063633A true CN111063633A (en) 2020-04-24

Family

ID=70280963

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910987234.2A Pending CN111063633A (en) 2018-10-17 2019-10-17 Substrate processing apparatus and substrate processing method

Country Status (3)

Country Link
US (1) US11469117B2 (en)
JP (1) JP7160624B2 (en)
CN (1) CN111063633A (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7110053B2 (en) * 2018-09-27 2022-08-01 東京エレクトロン株式会社 Substrate processing equipment
JP7194645B2 (en) * 2019-05-31 2022-12-22 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
JP2024060140A (en) * 2022-10-19 2024-05-02 株式会社Screenホールディングス SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058425A (en) * 1998-07-31 2000-02-25 Tokyo Electron Ltd Processor and processing method
JP2004022783A (en) * 2002-06-17 2004-01-22 Sharp Corp Treatment device
US20050257889A1 (en) * 1999-03-15 2005-11-24 Nec Corporation Etching and cleaning methods and etching and cleaning apparatuses used therefor
JP2006261393A (en) * 2005-03-17 2006-09-28 Seiko Epson Corp Device and method for washing substrate
US20070226925A1 (en) * 2006-03-30 2007-10-04 Nobuyasu Hiraoka Substrate treatment apparatus and substrate treatment method
US20080314870A1 (en) * 2005-02-07 2008-12-25 Yuki Inoue Substrate Processing Method, Substrate Processing Apparatus, and Control Program
JP2015023172A (en) * 2013-07-19 2015-02-02 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method and substrate processing recording medium
JP2017098295A (en) * 2015-11-18 2017-06-01 トヨタ自動車株式会社 Manufacturing apparatus and manufacturing method of semiconductor device
US20170182515A1 (en) * 2015-12-25 2017-06-29 SCREEN Holdings Co., Ltd. Substrate processing apparatus and substrate processing method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4040270B2 (en) * 2001-06-25 2008-01-30 東京エレクトロン株式会社 Substrate processing equipment
JP4421611B2 (en) * 2003-08-07 2010-02-24 株式会社荏原製作所 Substrate processing equipment
JP2007019161A (en) 2005-07-06 2007-01-25 Dainippon Screen Mfg Co Ltd Pattern forming method and coated film forming apparatus
JP5136103B2 (en) 2008-02-12 2013-02-06 東京エレクトロン株式会社 Cleaning device and method, coating and developing device and method, and storage medium
JP6442359B2 (en) 2015-05-15 2018-12-19 株式会社Screenホールディングス Liquid filling method and filler layer forming method
JP6654534B2 (en) 2016-09-15 2020-02-26 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
JP6426223B2 (en) * 2017-03-31 2018-11-21 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method and storage medium
JP6993806B2 (en) 2017-07-31 2022-01-14 株式会社Screenホールディングス Board processing method and board processing equipment

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058425A (en) * 1998-07-31 2000-02-25 Tokyo Electron Ltd Processor and processing method
US20050257889A1 (en) * 1999-03-15 2005-11-24 Nec Corporation Etching and cleaning methods and etching and cleaning apparatuses used therefor
JP2004022783A (en) * 2002-06-17 2004-01-22 Sharp Corp Treatment device
US20080314870A1 (en) * 2005-02-07 2008-12-25 Yuki Inoue Substrate Processing Method, Substrate Processing Apparatus, and Control Program
JP2006261393A (en) * 2005-03-17 2006-09-28 Seiko Epson Corp Device and method for washing substrate
US20070226925A1 (en) * 2006-03-30 2007-10-04 Nobuyasu Hiraoka Substrate treatment apparatus and substrate treatment method
JP2015023172A (en) * 2013-07-19 2015-02-02 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method and substrate processing recording medium
JP2017098295A (en) * 2015-11-18 2017-06-01 トヨタ自動車株式会社 Manufacturing apparatus and manufacturing method of semiconductor device
US20170182515A1 (en) * 2015-12-25 2017-06-29 SCREEN Holdings Co., Ltd. Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
US11469117B2 (en) 2022-10-11
JP2020064981A (en) 2020-04-23
JP7160624B2 (en) 2022-10-25
US20200126822A1 (en) 2020-04-23

Similar Documents

Publication Publication Date Title
KR101385847B1 (en) Substrate cleaning apparatus
KR100354547B1 (en) Resist treating method
CN111063633A (en) Substrate processing apparatus and substrate processing method
CN109326535B (en) Substrate processing method and substrate processing apparatus
CN108630569B (en) Substrate processing apparatus
JP2003245591A (en) Film forming apparatus, film forming method and tray for substrate plate
JP5090030B2 (en) Substrate processing apparatus and substrate processing method
KR102324610B1 (en) Substrate heating unit, substrate processing apparatus and substrate processing method
JP2020203314A (en) Substrate treating method and substrate treating apparatus
CN108701602B (en) Substrate processing apparatus
JP2008103556A (en) Substrate treatment method and substrate treatment device
TWI753353B (en) Substrate processing method and substrate processing apparatus
US11845090B2 (en) Nozzle apparatus, apparatus and method for treating substrate
JP7275068B2 (en) Membrane removal method, substrate processing method, and substrate processing apparatus
KR20160141248A (en) Apparatus and Method for treating substrate
KR101757814B1 (en) Standby port and Apparatus for treating substrate with the port
KR102223764B1 (en) Apparatus and Method for treating substrate
KR20170072390A (en) Apparatus for treating substrate, Standby unit and Method for cleaning Nozzle
TWI693639B (en) Substrate processing method and substrate processing apparatus
KR101985755B1 (en) Apparatus and Method for treating a substrate
KR20170061749A (en) Standby port and Apparatus for treating substrate with the port
TW202314839A (en) Substrate processing apparatus and substrate processing method
TW202331824A (en) Substrate processing method and substrate processing device
KR20240003294A (en) Method and apparatus for treating substrate
JP2003086491A (en) Treatment method of substrate, and forming method of coating film

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination