CN110783198A - 半导体器件及其制造方法 - Google Patents
半导体器件及其制造方法 Download PDFInfo
- Publication number
- CN110783198A CN110783198A CN201910609308.9A CN201910609308A CN110783198A CN 110783198 A CN110783198 A CN 110783198A CN 201910609308 A CN201910609308 A CN 201910609308A CN 110783198 A CN110783198 A CN 110783198A
- Authority
- CN
- China
- Prior art keywords
- precursor
- etch stop
- stop layer
- gate stack
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 132
- 239000004065 semiconductor Substances 0.000 title claims abstract description 45
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 13
- 239000002243 precursor Substances 0.000 claims abstract description 145
- 239000000463 material Substances 0.000 claims abstract description 120
- 239000010410 layer Substances 0.000 claims description 114
- 238000000151 deposition Methods 0.000 claims description 92
- 230000008021 deposition Effects 0.000 claims description 75
- 125000006850 spacer group Chemical group 0.000 claims description 70
- 239000000758 substrate Substances 0.000 claims description 39
- IJGRMHOSHXDMSA-UHFFFAOYSA-N nitrogen Substances N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 33
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 22
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 22
- 238000005530 etching Methods 0.000 claims description 18
- 238000010926 purge Methods 0.000 claims description 18
- 229910052757 nitrogen Inorganic materials 0.000 claims description 16
- AIHCVGFMFDEUMO-UHFFFAOYSA-N diiodosilane Chemical group I[SiH2]I AIHCVGFMFDEUMO-UHFFFAOYSA-N 0.000 claims description 13
- 239000002356 single layer Substances 0.000 claims description 8
- 238000006243 chemical reaction Methods 0.000 claims description 6
- 239000007795 chemical reaction product Substances 0.000 claims description 6
- 229910001873 dinitrogen Inorganic materials 0.000 claims description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 2
- 238000005137 deposition process Methods 0.000 abstract description 30
- 230000008569 process Effects 0.000 description 92
- 239000007789 gas Substances 0.000 description 40
- 239000003989 dielectric material Substances 0.000 description 33
- 238000005229 chemical vapour deposition Methods 0.000 description 16
- 239000007769 metal material Substances 0.000 description 16
- 230000015572 biosynthetic process Effects 0.000 description 15
- 238000000231 atomic layer deposition Methods 0.000 description 12
- 238000000137 annealing Methods 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 229910052751 metal Inorganic materials 0.000 description 10
- 239000002184 metal Substances 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- 239000000126 substance Substances 0.000 description 9
- -1 SiOC Chemical compound 0.000 description 8
- 239000002019 doping agent Substances 0.000 description 8
- 238000001020 plasma etching Methods 0.000 description 7
- 238000004544 sputter deposition Methods 0.000 description 7
- 229910052726 zirconium Inorganic materials 0.000 description 7
- 239000004020 conductor Substances 0.000 description 6
- 238000002955 isolation Methods 0.000 description 6
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- 239000010936 titanium Substances 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 229910010037 TiAlN Inorganic materials 0.000 description 5
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 5
- 238000005498 polishing Methods 0.000 description 5
- 238000003860 storage Methods 0.000 description 5
- 229910052718 tin Inorganic materials 0.000 description 5
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 4
- 229910004200 TaSiN Inorganic materials 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 238000001312 dry etching Methods 0.000 description 4
- 238000009616 inductively coupled plasma Methods 0.000 description 4
- 229910052748 manganese Inorganic materials 0.000 description 4
- 229910052759 nickel Inorganic materials 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 238000007789 sealing Methods 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 229910004166 TaN Inorganic materials 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 230000007547 defect Effects 0.000 description 3
- 229910000449 hafnium oxide Inorganic materials 0.000 description 3
- 239000007943 implant Substances 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 230000000873 masking effect Effects 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000000206 photolithography Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 239000000047 product Substances 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- 229910016570 AlCu Inorganic materials 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 150000004645 aluminates Chemical class 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 229910052914 metal silicate Inorganic materials 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 229910052723 transition metal Inorganic materials 0.000 description 2
- 229910000314 transition metal oxide Inorganic materials 0.000 description 2
- 229910000326 transition metal silicate Inorganic materials 0.000 description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical group [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- 229910002704 AlGaN Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 229910019001 CoSi Inorganic materials 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910005883 NiSi Inorganic materials 0.000 description 1
- GEIAQOFPUVMAGM-UHFFFAOYSA-N Oxozirconium Chemical compound [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 229910000831 Steel Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000001413 cellular effect Effects 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical compound [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000002294 plasma sputter deposition Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 239000010959 steel Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823468—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4586—Elements in the interior of the support, e.g. electrodes, heating or cooling devices
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Ceramic Engineering (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
- Thin Film Transistor (AREA)
- Mechanical Treatment Of Semiconductor (AREA)
- Die Bonding (AREA)
- Formation Of Insulating Films (AREA)
Abstract
在半导体鳍和栅极堆叠件上形成蚀刻停止层。利用前体材料的一系列脉冲形成蚀刻停止层。第一脉冲将第一前体材料引入半导体鳍和栅极堆叠件。第二脉冲引入第二前体材料,该第二前体材料转变成等离子体,然后在各向异性沉积工艺中导向半导体鳍和栅极堆叠件。因此,沿着底面的蚀刻停止层的厚度大于沿着侧壁的蚀刻停止层的厚度。本发明的实施例还涉及半导体器件及其制造方法。
Description
技术领域
本发明的实施例涉及半导体器件及其制造方法。
背景技术
半导体器件用于各种电子应用,例如个人计算机、手机、数码相机和其他电子设备。通常通过在半导体衬底上顺序沉积绝缘或介电层、导电层和半导体材料层,并使用光刻图案化各个材料层以在其上形成电路组件和元件来制造半导体器件。
半导体工业通过不断减小最小部件尺寸不断改善各种电子组件(例如,晶体管、二极管、电阻器、电容器等)的集成密度,这允许将更多组件集成到给定区域中。但是,随着最小部件尺寸的减小,出现了应该解决的其他问题。
发明内容
本发明的实施例提供了一种制造半导体器件的方法,包括:在半导体鳍上形成与栅极堆叠件相邻的间隔件;在所述栅极堆叠件上并且与所述间隔件相邻地沉积蚀刻停止层,沉积所述蚀刻停止层包括:在所述栅极堆叠件上脉冲第一前体,所述第一前体是非等离子体;和在脉冲所述第一前体之后,在所述栅极堆叠件上脉冲第二前体,所述第二前体是朝向所述半导体鳍偏置的等离子体,所述蚀刻停止层具有与所述间隔件相邻的第一厚度以及位于所述栅极堆叠件上的与所述第一厚度不同的第二厚度。
本发明的另一实施例提供了一种制造半导体器件的方法,所述方法包括:在半导体鳍上形成第一栅极堆叠件和第二栅极堆叠件;形成与所述第一栅极堆叠件相邻的第一间隔件和与所述第二栅极堆叠件相邻的第二间隔件;脉冲第一前体以在自限反应中反应,以在所述第一栅极堆叠件的表面和所述第一间隔件的表面上形成第一反应产物;各向异性地将第一等离子体脉冲向所述第一反应产物,以形成第一材料的第一单层;重复脉冲所述第一前体并且各向异性地脉冲所述第一等离子体以形成所述第一材料的蚀刻停止层,所述蚀刻停止层具有与所述第一间隔件相邻的第一厚度和所述第一栅极堆叠件上的不同于所述第一厚度的第二厚度;以及蚀刻所述蚀刻停止层以形成与所述第一间隔件相邻的第二间隔件。
本发明的又一实施例提供了一种制造半导体器件的方法,所述方法包括:将位于衬底上的半导体鳍上并且与栅极堆叠件相邻的第一间隔件放置到沉积室中;执行第一步骤,所述第一步骤包括将二碘硅烷脉冲进入所述沉积室;在所述第一步骤之后净化所述沉积室;执行第二步骤,所述第二步骤包括:将氮气脉冲进入所述沉积室;将所述氮气点燃成等离子体;和使用定向偏压将所述等离子体导向所述衬底;以及重复所述第一步骤和所述第二步骤以构建氮化硅层。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1A至图1B示出了根据一些实施例的形成在半导体鳍上方的栅极堆叠件。
图2A至图2C示出了根据一些实施例的沉积工艺中的第一步骤。
图3示出了根据一些实施例的沉积工艺中的第二步骤。
图4A至图4B示出了根据一些实施例的使用沉积工艺形成蚀刻停止层。
图5示出了根据一些实施例的介电层的沉积。
图6示出了根据一些实施例的平坦化工艺。
图7示出了根据一些实施例的硬掩模的沉积。
图8示出了根据一些实施例的硬掩模的图案化。
图9示出了根据一些实施例的介电层的沉积。
图10示出了根据一些实施例的介电层的平坦化。
图11示出了根据一些实施例的栅极堆叠件的去除。
图12示出了根据一些实施例的替换栅极。
图13示出了根据一些实施例的介电材料的去除。
图14示出了根据一些实施例的蚀刻停止层的图案化。
图15示出了根据一些实施例的接触件的形成。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征不同的实施例或实例。下面描述了组件和布置的具体实施例或实例以简化本发明。当然这些仅是实例而不旨在限制。例如,元件的尺寸不限于所公开的范围或值,但可能依赖于工艺条件和/或器件所需的性能。此外,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。为了简单和清楚的目的,各个部件可以以不同的比例任意地绘制。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的空间关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,空间关系术语旨在包括器件在使用或操作工艺中的不同方位。装置可以以其它方式定位(旋转90度或在其它方位),并且在本文中使用的空间关系描述符可以同样地作相应地解释。
现在参考图1A和图1B(图1B示出穿过图1A中的线B-B'的图1A的截面图),示出了诸如finFET器件的半导体器件100的立体图。在实施例中,半导体器件100包括衬底101,衬底101中形成有第一沟槽103。衬底101可以是硅衬底,但是也可以使用其他衬底,例如绝缘体上半导体(SOI)、应变SOI和绝缘体上硅锗。衬底101可以是p型半导体,但是在其他实施例中,它可以是n型半导体。
可以在最终形成第一隔离区105的初始步骤中形成第一沟槽103。可以使用掩模层(图1A中未单独示出)以及合适的蚀刻工艺来形成第一沟槽103。例如,掩模层可以是包括通过诸如化学气相沉积(CVD)的工艺形成的氮化硅的硬掩模,但是可以使用其他材料(例如氧化物、氮氧化物、碳化硅、这些的组合等)以及其他工艺(例如,等离子体增强化学气相沉积(PECVD)、低压化学气相沉积(LPCVD)或甚至氧化物形成以及随后的氮化)。一旦形成,可以通过合适的光刻工艺图案化掩模层,以暴露将被去除的衬底101的那些部分以形成第一沟槽103。
然而,如本领域技术人员将认识到的,上述用于形成掩模层的工艺和材料不是可用于保护衬底101的部分同时暴露衬底101的其他部分(用于形成第一沟槽103)的唯一方法。可以利用任何合适的工艺,例如图案化和显影的光刻胶,以暴露要去除的衬底101的部分,以形成第一沟槽103。所有这些方法完全旨在包括在本实施例的范围内。
一旦形成并图案化掩模层,就在衬底101中形成第一沟槽103。可以通过诸如反应离子蚀刻(RIE)的合适工艺去除暴露的衬底101,以便在衬底101中形成第一沟槽103,但是可以使用任何合适的工艺。在实施例中,第一沟槽103可以形成为具有距衬底101的表面小于约5000埃的第一深度,例如约2500埃。
然而,如本领域普通技术人员将认识到的,上述形成第一沟槽103的工艺仅仅是一个潜在的工艺,并不意味着是唯一的实施例。而是,可以使用可以形成第一沟槽103的任何合适的工艺,并且可以使用任何合适的工艺,包括任何数量的掩蔽和去除步骤。
除了形成第一沟槽103之外,掩蔽和蚀刻工艺还由衬底101的那些未被去除的部分形成鳍107。为方便起见,在图中已经通过虚线将鳍107示出为与衬底101分离,但是可以存在或不存在分离的物理指示。如下所述,可以使用这些鳍107来形成多栅极FinFET晶体管的沟道区。虽然图1A仅示出了由衬底101形成的三个鳍107,但是可以使用任何数量的鳍107。
可以形成鳍107,使得它们在衬底101的表面处的宽度在约5nm和约80nm之间,例如约30nm。另外,鳍107可以彼此间隔开约10nm至约100nm之间的距离,例如约50nm。通过以这种方式间隔鳍107,鳍107可各自形成单独的沟道区,同时仍足够接近以共享共同栅极(下面进一步讨论)。
一旦形成了第一沟槽103和鳍107,就可以用介电材料填充第一沟槽103,并且可以在第一沟槽103内凹进介电材料以形成第一隔离区105。介电材料可以是氧化物材料、高密度等离子体(HDP)氧化物等。在第一沟槽103的可选的清洁和衬里之后,可以使用化学气相沉积(CVD)方法(例如,HARP工艺)、高密度等离子体CVD方法或本领域所知的其他合适的形成方法来形成介电材料。
可以通过用介电材料过填充第一沟槽103和衬底101来填充第一沟槽103,然后通过诸如化学机械抛光(CMP)、蚀刻、这些的组合等的合适工艺去除第一沟槽103和鳍107外部的多余材料。在实施例中,去除工艺也去除了位于鳍107上方的任何介电材料,使得介电材料的去除将暴露鳍107的表面以用于进一步的处理步骤。
一旦用介电材料填充了第一沟槽103,则可以使介电材料远离鳍107的表面凹进。可以执行凹进以暴露与鳍107的顶面邻近的鳍107的侧壁的至少部分。可以通过将鳍107的顶面浸入诸如HF的蚀刻剂,使用湿蚀刻来使介电材料凹进,但是可以使用其他蚀刻剂(例如H2)以及其他方法(例如,反应离子蚀刻、使用诸如NH3/NF3的蚀刻剂的干蚀刻、化学氧化物去除或干化学清洁)。介电材料可以从鳍107的表面凹进约50埃和约500埃之间的距离,例如约400埃。另外,凹进还可以去除位于鳍107上方的任何剩余介电材料,以确保鳍107暴露以进行进一步处理。
然而,如本领域普通技术人员将认识到的,上述步骤可以仅是用于填充和凹进介电材料的整个工艺流程的一部分。例如,衬里步骤、清洁步骤、退火步骤、间隙填充步骤、这些的组合等也可用于利用介电材料形成和填充第一沟槽103。所有潜在的工艺步骤完全旨在包括在本实施例的范围内。
在已形成第一隔离区105之后,可在每个鳍107上方形成伪栅极电介质(或界面氧化物)、位于伪栅极电介质上方的伪栅电极111以及第一间隔件113。在实施例中,伪栅极电介质可以通过热氧化、化学气相沉积、溅射或本领域已知和用于形成栅极电介质的任何其他方法形成。取决于栅极电介质形成技术,鳍107的顶部上的伪栅极电介质厚度可以与鳍107的侧壁上的栅极电介质厚度不同。
伪栅极电介质可以包括诸如二氧化硅或氮氧化硅的材料,其厚度范围从约3埃到约100埃之间,例如约10埃。伪栅极电介质可以由高介电常数(高k)材料(例如,相对介电常数大于约5)形成,例如氧化镧(La2O3)、氧化铝(Al2O3)、氧化铪(HfO2)、氧氮化铪(HfON)或氧化锆(ZrO2)或它们的组合,具有约0.5埃至约100埃的等效氧化物厚度,例如约10埃或更小。另外,二氧化硅、氮氧化硅和/或高k材料的任何组合也可用于伪栅极电介质。
伪栅电极111可以包括导电材料,并且可以选自包括多晶硅(例如,伪多晶硅(DPO))、W、Al、Cu、AlCu、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、它们的组合等。可以通过化学气相沉积(CVD)、溅射沉积或本领域已知和用于沉积导电材料的其他技术来沉积伪栅电极111。伪栅电极111的厚度可以在约5埃至约200埃的范围内。伪栅电极111的顶面可以具有非平面顶面,并且可以在伪栅电极111的图案化或栅极蚀刻之前被平坦化。此时可以将离子引入或不引入伪栅电极111。例如,可以通过离子注入技术引入离子。
一旦形成,可以图案化伪栅极电介质和伪栅电极111以在鳍107上方形成一系列堆叠件115。堆叠件115限定位于伪栅极电介质下方的鳍107的每一侧上的多个沟道区。可以通过使用例如本领域已知的沉积和光刻技术在伪栅电极111上沉积和图案化栅极掩模(图1A中未单独示出)来形成堆叠件115。栅极掩模可以包含常用的掩模和牺牲材料,例如(但不限于)氧化硅、氮氧化硅、SiCON、SiC、SiOC和/或氮化硅,并且可以沉积至约5埃至约200埃之间的厚度。可以使用干蚀刻工艺来蚀刻伪栅电极111和伪栅极电介质,以形成图案化的堆叠件115。
一旦已经图案化堆叠件115,就可以形成第一间隔件113。第一间隔件113可以形成在堆叠件115的相对侧上。第一间隔件113通常通过在先前形成的结构上毯式沉积间隔件层(图1A中未单独示出)而形成。间隔件层可以包括SICON、SiN、氮氧化物、SiC、SiON、SiOC、氧化物等,并且可以通过用于形成这种层的方法形成,例如化学气相沉积(CVD)、等离子体增强CVD、溅射、和本领域已知的其他方法。间隔件层可以包括具有不同蚀刻特性的不同材料或与第一隔离区105内的介电材料相同的材料。然后可以对第一间隔件113进行图案化,例如通过一个或多个蚀刻以从结构的水平表面去除间隔件层,以形成第一间隔件113。
在实施例中,第一间隔件113可以形成为具有介于约10埃和约100埃之间的第一厚度T1。另外,一旦形成第一间隔件113,与一个堆叠件115相邻的第一间隔件113可以与邻近另一个堆叠件115的第一间隔件113分隔开约50埃至约500埃之间的第一距离D1,例如约200埃。然而,可以使用任何合适的厚度和距离。
一旦形成第一间隔件113,就可以执行从未被堆叠件115和第一间隔件113保护的那些区域去除鳍107以及源极/漏极区117的再生长。可以通过使用堆叠件115和第一间隔件113作为硬掩模的反应离子蚀刻(RIE),或者通过任何其他合适的去除工艺来执行从未被堆叠件115和第一间隔件113保护的那些区域去除鳍107。可以继续去除,直到鳍107与第一隔离区105的表面齐平(如图所示)或位于第一隔离区105的表面下方。
一旦去除了鳍107的这些部分,就放置并图案化硬掩模(未单独示出)以覆盖伪栅电极111以防止伪栅电极111上的生长,并且源极/漏极区117可以再生长为与每个鳍107接触。在实施例中,可以再生长源极/漏极区117,并且在一些实施例中,可以再生长源极/漏极区117以形成将对位于堆叠件115下面的鳍107的沟道区施加应力的应力源。在鳍107包括硅并且FinFET是p型器件的实施例中,源极/漏极区117可以通过选择性外延工艺用诸如硅、硅锗、硅磷的具有与沟道区不同的晶格常数的材料再生长。外延生长工艺可以使用前体,例如硅烷、二氯硅烷、锗烷等,并且可以持续约5分钟至约120分钟之间,例如约30分钟。在其他实施例中,源极/漏极区117可以包括诸如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP的材料;或组合等。
一旦形成源极/漏极区117,就可以通过注入适当的掺杂剂将掺杂剂注入到源极/漏极区117中来补充鳍107中的掺杂剂。例如,可以注入诸如硼、镓、铟等的p型掺杂剂以形成PMOS器件。或者,可以注入诸如磷、砷、锑等的n型掺杂剂以形成NMOS器件。可以使用堆叠件115和第一间隔件113作为掩模来注入这些掺杂剂。应该注意,本领域普通技术人员将认识到,可以使用许多其他工艺、步骤等来注入掺杂剂。例如,本领域普通技术人员将认识到,可以使用间隔件和衬垫的各种组合来执行多个注入工艺,以形成具有适合于特定目的的特定形状或特性的源极/漏极区。可以使用这些工艺中的任何一种来注入掺杂剂,并且以上描述并不意味着将本实施例限制为上述步骤。
此外,此时,去除在形成源极/漏极区117期间覆盖伪栅电极111的硬掩模。在实施例中,可以使用例如对硬掩模的材料具有选择性的湿或干蚀刻工艺来去除硬掩模。然而,可以使用任何合适的去除工艺。
图2A至图2B示出了沉积系统200,其可用于从第一前体输送系统205和第二前体输送系统206接收前体材料并在衬底101上形成材料层。在实施例中,第一前体输送系统205和第二前体输送系统206可以彼此结合工作,以将各种不同的前体材料供应到沉积室203,沉积室203中放置衬底101。然而,第一前体输送系统205和第二前体输送系统206可具有彼此相似的物理组分。
例如,第一前体输送系统205和第二前体输送系统206可各自包括气体供应器207和流量控制器209(关于第一前体输送系统205在图2A中标记,但为清楚起见,关于第二前体输送系统206未标记)。在第一前体以气态存储的实施例中,气体供应器207可以将第一前体供应到沉积室203。气体供应器207可以是容器,例如储气罐,其位于沉积室203局部或远离沉积室203。在另一实施例中,气体供应器207可以是独立地准备并将第一前体输送到流量控制器209的设施。用于第一前体的任何合适的源可以用作气体供应器207,并且所有这些源完全旨在包括在实施例的范围内。
气体供应器207可将所需前体供应到流量控制器209。流量控制器209可用于控制前体到前体气体控制器213并最终到沉积室203的流动,从而也有助于控制沉积室203内的压力。流量控制器209可以是例如比例阀、调节阀、针阀、压力调节器、质量流量控制器、这些的组合等。然而,可以使用用于控制和调节到前体气体控制器213的气体流动的任何合适的方法,并且所有这些组件和方法完全旨在包括在实施例的范围内。
然而,如本领域普通技术人员将认识到的,虽然第一前体输送系统205和第二前体输送系统206在本文中已经描述为具有相同的组件,但这仅仅是说明性的实例并且不旨在以任何方式限制实施例。可以使用任何类型的合适的前体输送系统,其具有与沉积系统200内的任何其他前体输送系统相同或不同的任何类型和数量的单独组件。所有这些前体系统完全旨在包括在实施例的范围内。
另外,在第一前体以固态或液态存储的实施例中,气体供应器207可以存储载气,并且载气可以被引入前体罐(未单独示出),前体罐存储固态或液态的第一前体。然后使用载气推送和运载第一前体,因为它在被送至前体气体控制器213之前蒸发或升华成前体罐的气态部分。可以使用任何合适的方法和单元组合来提供第一前体,并且所有这些单元组合完全旨在包括在实施例的范围内。
第一前体输送系统205和第二前体输送系统206可将它们各自的前体材料供应到前体气体控制器213中。前体气体控制器213连接并隔离第一前体输送系统205和第二前体输送系统206与沉积室203,以将所需的前体材料输送到沉积室203。前体气体控制器213可以包括诸如阀、流量计、传感器等的器件,以控制每种前体的输送速率,并且可以通过从控制单元215接收的指令来控制(下面参考图2B进一步描述)。
在接收到来自控制单元215的指令时,前体气体控制器213可以打开和关闭阀,以便将第一前体输送系统205和第二前体输送系统206中的一个或多个连接到沉积室203,并且将所需的前体材料通过歧管216引导至沉积室203,并且引导至喷头217。喷头217可用于将所选择的前体材料分散到沉积室203中,并且可设计成均匀地分散前体材料,以最小化可能由不均匀分散引起的不希望的工艺条件。在实施例中,喷头217可以具有圆形设计,其中开口均匀地分布在喷头217周围,以允许所需的前体材料分散到沉积室203中。
然而,如本领域普通技术人员将认识到的,通过单个喷头217或通过如上所述的单个引入点将前体材料引入沉积室203仅仅是说明性的而不是旨在限制实施例。可以使用任何数量的单独且独立的喷头217或其他开口以将前体材料引入沉积室203中。喷头和其他引入点的所有这些组合完全旨在包括在实施例的范围内。
沉积室203可以接收期望的前体材料并将前体材料暴露于半导体器件100,并且沉积室203可以是任何期望的形状,该形状可以适合于分散前体材料并使前体材料与半导体器件100接触。在图2A所示的实施例中,沉积室203具有圆柱形侧壁和底部。然而,沉积室203不限于圆柱形,并且可以使用任何其他合适的形状,例如中空方管、八边形等。此外,沉积室203可以由壳体219围绕,壳体219由对各种处理材料呈惰性的材料制成。因此,虽然壳体219可以是能够承受沉积工艺中涉及的化学物质和压力的任何合适的材料,但是在实施例中,壳体219可以是钢、不锈钢、镍、铝、这些的合金、这些的组合等。
在沉积室203内,衬底101可以放置在安装平台221上,以便在沉积工艺期间定位和控制衬底101和半导体器件100。安装平台221可包括加热机构,以在沉积工艺期间加热衬底101。此外,虽然图2A中示出了单个安装平台221,但是可以在沉积室203内另外包括任何数量的安装平台221。
另外,沉积室203和安装平台221可以是群集工具系统(未示出)的一部分。集群工具系统可以与自动处理系统结合使用,以便在沉积工艺之前将衬底101定位和放置到沉积室203中,在沉积工艺期间定位、保持衬底101,以及在沉积工艺之后从沉积室203中取出衬底101。
沉积室203还可以具有排气出口225,用于将气体排出沉积室203。真空泵231可以连接到沉积室203的排气出口225,以帮助排出废气。在控制单元215的控制下,真空泵231也可用于将沉积室203内的压力降低和控制到所需压力,并且还可用于从沉积室203中排出前体材料以准备引入下一种前体材料。
图2B示出了控制单元215的实施例,控制单元215可用于控制前体气体控制器213和真空泵231(如图2A所示)。控制单元215可以是任何形式的计算机处理器,其可以在工业设置中用于控制工艺机器。在实施例中,控制单元215可以包括处理单元201,例如台式计算机、工作站、膝上型计算机或为特定应用定制的专用单元。控制单元215可以配备有显示器243和一个或多个输入/输出组件245,例如指令输出、传感器输入、鼠标、键盘、打印机、这些的组合等。处理单元201可以包括连接到总线258的中央处理单元(CPU)246、存储器248、大容量存储器件250、视频适配器254和I/O接口256。
总线258可以是任何类型的若干总线架构中的一种或多种,包括存储器总线或存储器控制器、外围总线或视频总线。CPU 246可以包括任何类型的电子数据处理器,并且存储器248可以包括任何类型的系统存储器,例如静态随机存取存储器(SRAM)、动态随机存取存储器(DRAM)或只读存储器(ROM)。大容量存储器件250可以包括任何类型的存储器件,其被配置为存储数据、程序和其他信息,并且使得数据、程序和其他信息可以通过总线258访问。大容量存储器件250可以包括例如硬盘驱动器、磁盘驱动器或光盘驱动器中的一种或多种。
视频适配器254和I/O接口256提供将外部输入和输出器件耦合到处理单元201的接口。如图2B所示,输入和输出器件的示例包括耦合到视频适配器254的显示器243和耦合到I/O接口256的I/O组件245,例如鼠标、键盘、打印机等。其他器件可以耦合到处理单元201,并且可以利用更多或更少的接口卡。例如,串行接口卡(未示出)可用于为打印机提供串行接口。处理单元201还可以包括网络接口260,网络接口260可以是到局域网(LAN)或广域网(WAN)262的有线链路和/或无线链路。
应注意,控制单元215可包括其他组件。例如,控制单元215可以包括电源、电缆、主板、可移动存储介质、壳体等。尽管未在图2B中示出,但是这些其他组件被认为是控制单元215的一部分。
现在转向图2C,沉积系统200可用于在源极/漏极区117上方和第一间隔件113之间沉积蚀刻停止层401。在实施例中,蚀刻停止层401可以是介电材料,例如氮化硅、SiCN或SiCON。但是,可以使用任何合适的材料。
在实施例中,可以通过将第一前体材料放入第一前体输送系统205(参见图2A)中,以使第一前体材料最终引入沉积室203中并引入到衬底101(如图2C所示)来开始蚀刻停止层401的形成。例如,在期望蚀刻停止层401是诸如氮化硅的材料的实施例中,第一前体可以是诸如二碘硅烷、二氯硅烷或硅烷的材料中的一种或多种。然而,可以使用任何合适的第一前体。
另外,第二前体材料可以放置在第二前体输送系统206中。在实施例中,第二前体材料是可以与第一前体材料的产物结合起作用的材料以形成所需材料的单层。在期望蚀刻停止层401是氮化硅并且第一前体材料是二碘硅烷403的实施例中,第二前体材料可以是诸如氮气、NH3或H2的材料。但是,可以使用任何合适的材料。
一旦将第一前体材料和第二前体材料分别放入第一前体输送系统205和第二前体输送系统206中,就可以通过控制单元215向前体气体控制器213发送指令以将第一前体输送系统205连接到沉积室203来开始蚀刻停止层401的形成。一旦连接,第一前体输送系统205可通过前体气体控制器213和歧管216将第一前体材料输送到喷头217。然后,喷头217可以将第一前体材料分散到沉积室203中,其中第一前体材料可以被吸附并且与暴露的表面各自反应。
在形成氮化硅层的实施例中,第一前体材料可以以约5sccm和约5slm之间的流量流入沉积室203中,其中载气(例如,氮气)的流量在约5sccm和约5slm之间,例如约2slm。另外,沉积室203可以保持在约2.5托至约22.5托之间的压力,例如约2.6托,以及约200℃至约600℃之间的温度,例如约450℃,在炉ALD工艺中,温度可以在约200℃至约600℃之间,持续约1秒至约1000秒的时间。ALD循环的工艺可以持续约0.01秒至约10秒的时间段,例如约0.3秒。然而,如本领域普通技术人员将认识到的,这些工艺条件仅旨在说明,因为可以利用任何合适的工艺条件,同时保持在实施例的范围内。
在希望使用二碘硅烷403形成氮化硅层的实施例中,在这些工艺条件下,二碘硅烷403将与暴露的表面反应,以提供其中硅化学键合到下面的表面的表面。而相对的表面用碘原子终止,碘原子暴露于沉积室203内的周围环境。另外,二碘硅烷403与下面的结构的反应可以是自限性的,一旦这一步骤完成,则提供单层分子。
在自限制反应完成之后,可以清除沉积室203中的第一前体材料。例如,控制单元215可以指示前体气体控制器213断开第一前体输送系统205(包含待从沉积室203净化的第一前体材料)并连接净化气体输送系统214以输送净化气体至沉积室203。在实施例中,净化气体输送系统214可以是气体罐或其他设施,其向沉积室203提供净化气体,例如氮气、氩气、氙气或其他气体,净化气流在约2slm至约20slm之间,例如约10slm,持续约0.01s至约30s之间的时间段,例如约1秒。另外,控制单元215还可以启动真空泵231,以便将压力差施加到沉积室203,以帮助去除第一前体材料。净化气体与真空泵231一起可以从沉积室203中净化第一前体材料。
图3示出了在完成第一前体材料的净化之后,可以通过控制单元215向前体气体控制器213发送指令以断开净化气体输送系统214并且将第二前体输送系统206(包含第二前体材料)连接到沉积室203来启动将第二前体材料(例如,氮气)引入沉积室203。一旦连接,第二前体输送系统206可将第二前体材料输送到喷头217。然后,喷头217可以将第二前体材料分散到沉积室203中。
在上面讨论的用二碘硅烷403和氮气形成氮化硅层的实施例中,氮气可以以约5sccm和约5slm之间的流量(例如约2slm)的流量引入沉积室203约1.6秒。另外,沉积室203可以保持在约2.5托至约22.5托之间的压力,例如约2.6托,并且保持在约400℃至约500℃之间的温度,例如约450℃。然而,如本领域普通技术人员将认识到的,这些工艺条件仅旨在说明,因为可以利用任何合适的工艺条件,同时保持在实施例的范围内。
另外,在将第二前体材料引入沉积室203中时,可以将第二前体材料点燃成等离子体以辅助沉积工艺。在该实施例中,安装平台221可以另外包括耦合到第一RF发生器233的第一电极223。在沉积工艺期间,第一电极223可以由第一RF发生器233(在控制单元215的控制下)在RF电压下电偏置。通过电偏置,第一电极223用于向进入的第二前体材料提供偏压以及帮助将第二前体材料点燃成等离子体。另外,第一电极223还用于通过保持偏压在沉积工艺期间保持第二前体等离子体。
在实施例中,喷头217还可以是或包括(或以其他方式结合)第二电极229,用作等离子体发生器以辅助沉积室203。在实施例中,等离子体发生器可以是变压器耦合等离子体发生器,并且可以是例如线圈。线圈可以附接到第二RF发生器227,第二RF发生器227用于向第二电极229提供电力(在控制单元215的控制下),以便在引入第二前体材料期间点燃等离子体。
然而,尽管上面将第二电极229描述为变压器耦合等离子体发生器,但是实施例不旨在限于变压器耦合等离子体发生器。而是,可以使用任何合适的产生等离子体的方法,例如电感耦合等离子体系统、磁增强反应离子蚀刻、电子回旋共振、远程等离子体发生器等。所有这些方法完全旨在包括在实施例的范围内。
另外,在将第二前体材料点燃成第二前体等离子体(例如,氮等离子体)之后,第一电极223和喷头217内的第二电极229也可用于施加偏压并产生增强第二前体等离子体的沉积的各向异性生长速率。在实施例中,第一电极223可以设置在约0W和约1500W之间的功率,例如约0W,而第二电极229可以设置在约300W和约500W之间的功率,频率约为13.56MHz。此外,该脉冲中的各向异性沉积可以持续约0.01秒至约30秒,例如约1.6秒。然而,可以使用任何合适的参数。
在引入第二前体材料期间,为了帮助保护安装平台221的后侧,可以沿着安装平台的后侧引入密封气体。在实施例中,密封气体可以与第二前体材料(例如,氮气)类似,但可以是非等离子体形式。此外,密封气体可以约0.2slm的速率引入。然而,可以使用任何合适的密封气体和任何合适的流量。
通过利用第二前体等离子体以及来自第二电极229和第一电极223的偏压,第二前体等离子体(例如,氮等离子体)可以为原子层沉积工艺提供各向异性的生长速率增强。特别地,在来自第二电极229和第一电极223的偏压的影响下,第二前体等离子体将被引向衬底101并且将更多地与沿着水平表面定位的第一前体材料的产物反应,并且更少地与沿着诸如第一间隔件113的侧壁的垂直表面定位的第一前体材料的产物反应。因此,当沉积工艺是原子层沉积工艺时,沉积产生非共形单层,其中水平部分(沿水平表面的那些部分)沉积得比垂直部分(沿垂直表面的那些部分)厚。
另外,可以基于每个循环偏压修改蚀刻停止层401的材料的生长速率。特别地,第二电极229的RF功率可用于在各向异性沉积工艺期间调节每循环生长速率。例如,在约300W的RF功率下,每个循环的生长速率可以是约0.259埃/循环,而在约400W的RF功率下,每个循环的生长速率可以是约0.261埃/循环,并且在约500W的RF功率下,每个循环的生长速率可以为约0.267埃/循环。然而,可以使用任何合适的功率和生长速率。
在形成所需材料(例如氮化硅)的单层之后,可以使用例如来自净化气体输送系统214的净化气体净化沉积室203(在衬底101上留下所需材料的单层)约一秒钟。在净化沉积室203之后,已经完成了用于形成所需材料的第一循环,并且可以开始类似于第一循环的第二循环。例如,重复循环可以引入第一前体材料,用净化气体净化,用第二前体脉冲(使用利用等离子体的各向异性沉积工艺),以及用净化气体净化。这些循环可以重复约10次至约1000次,例如400次。
图4A示出,由于与第二前体材料的脉冲一起使用的各向异性沉积工艺,蚀刻停止层401沿着第一间隔件113的侧壁具有与沿着开口的底部或沿着堆叠件115的顶部不同的厚度。例如,沿着开口的底部,蚀刻停止层401可以具有介于约10埃和约100埃之间的第二厚度T2,例如约60埃。另外,蚀刻停止层401可具有沿侧壁的第三厚度T3,第三厚度T3介于约0埃和约80埃之间,例如约40埃。然而,可以使用任何合适的厚度。
另外,可以使用压力窗口以帮助控制第二厚度T2和第三厚度T3之间的厚度差。例如,如图4B所示,通过选择用于整体沉积工艺的压力(例如,对于相同沉积工艺的每个循环保持为恒定的压力),单独沉积的层之间的厚度差异可根据需要设定。例如,在2.6托的压力下,第二厚度T2和第三厚度T3之间的厚度差可以是约2.84nm或3.1nm,而在15托的压力下,差可以是约1nm。然而,可以使用任何合适的厚度差异。
通过利用本文描述的实施例,可以获得高质量的蚀刻停止层401。例如,在蚀刻停止层401是氮化硅的实施例中,本文的实施例可以获得约2.92g/cm3的密度、约0.2Gpa的应力、在约3埃/min至约20埃/min之间(例如约10.53埃/min)的第一湿蚀刻速率(以去除蚀刻停止层401的顶部处的氧化的氮化硅和纯氮化硅的复合膜)(在1:100的稀氢氟酸溶液中)、在约1埃/min至约10埃/min之间(例如约5.05埃/min)的第二湿蚀刻速率(对于纯氮化硅的蚀刻停止层401的主体部分的内在物质去除速率)(在1:100的稀氢氟酸溶液中)、约6.7的K值以及约10.93MV/cm(<50埃)的电压击穿。然而,可以使用任何合适的材料特性。
图5示出了层间介电(ILD)层501(例如,ILD0层)的形成。ILD层501可以包括诸如氧化硅(SiO2)或硼磷硅酸盐玻璃(BPSG)的材料,但是可以使用任何合适的电介质。可以使用诸如PECVD的工艺来形成ILD层501,但是可以可选地使用诸如LPCVD的其他工艺。ILD层501可以形成为约100埃至约3000埃的厚度。
一旦形成,可以使用例如第一次退火工艺来退火ILD层501。在实施例中,第一退火工艺可以是热退火,其中衬底101和ILD层501在惰性气氛中在例如炉内加热。第一退火工艺可以在约200℃至约1000℃之间的温度下进行,例如约500℃,并且可以持续约60秒至约360分钟的时间,例如约240分钟。
然而,通过利用各向异性沉积工艺来形成蚀刻停止层401以使第二厚度T2沿着开口的底部更大,在退火工艺期间更好地保护蚀刻停止层401下面的结构。特别地,因为蚀刻停止层401沿着开口的底面具有更大的厚度,所以蚀刻停止层401可以更好地保护下面的源极/漏极区117(例如,外延材料)在第一退火工艺期间免受因氧化引起的源极/漏极区117的不期望的损失。例如,由于存在较厚的蚀刻停止层401,进入源极/漏极区117的氧渗透深度可能小于约16埃,例如小于约10埃。因此,发生来自不希望的氧化的更少的缺陷。
图6示出了,在ILD层501已经通过第一退火工艺退火之后,可以平坦化ILD层501以便制备ILD层501用于进一步处理。在实施例中,可以使用诸如化学机械抛光(CMP)的平坦化工艺来平坦化ILD层501,使得ILD层501与蚀刻停止层401共面。然而,也可以使用任何其他合适的方法,诸如一个或多个蚀刻工艺。
另外,通过利用各向异性沉积工艺来形成蚀刻停止层401以使第二厚度T2沿着堆叠件115的上表面更大,在平坦化工艺期间更好地保护蚀刻停止层401下面的结构。具体地,因为蚀刻停止层401沿着堆叠件115的表面具有更大的厚度,所以蚀刻停止层401可以在平坦化工艺期间更好地保护下面的堆叠件115和第一间隔件113免受不期望的损坏(例如,CMP过度抛光)。因此,平坦化工艺中出现的缺陷更少。
一旦已经平坦化ILD层501,可以再次使用例如第二次退火工艺来退火ILD层501。在实施例中,第二退火工艺可以是热退火,其中衬底101和ILD层501在惰性气氛中在例如炉内加热。第二退火工艺可以在约200℃至约1000℃之间的温度下进行,例如约500℃,并且可以持续约60秒至约360分钟的时间,例如约240分钟。
图7示出了在ILD层501和蚀刻停止层401上方形成硬掩模701。在实施例中,硬掩模701可以是诸如氮化硅的介电材料,并且可以使用诸如CVD、PVD、ALD、这些的组合等的工艺来形成。然而,可以使用任何其他合适的材料和任何其他合适的工艺来形成硬掩模701。
图8示出了一旦放置了硬掩模701,就对硬掩模701进行图案化以暴露第一个伪栅电极111的部分。在实施例中,可以通过沉积和然后曝光硬掩模701上的光敏材料来图案化硬掩模701。来自曝光的能量的影响将在光敏材料的受能量影响的那些部分中引起化学反应,从而改变光刻胶的曝光部分的化学性质,使得光敏材料的曝光部分的化学性质不同于光敏材料的未曝光部分的化学性质。然后可以用例如显影剂显影光敏材料,以便将光敏材料的曝光部分与光敏材料的未曝光部分分离,然后可以使用各向异性蚀刻并且将光敏材料用作掩模来图案化硬掩模701。
图8另外示出,一旦第一个伪栅电极111中的部分已经通过硬掩模701暴露,就可以去除第一个伪栅电极111的部分和伪栅极电介质以“切割”伪栅电极111的材料。在实施例中,可以使用例如一个或多个蚀刻工艺(例如干蚀刻或湿蚀刻工艺)去除第一个伪栅电极111中的部分和栅极电介质,而硬掩模701保持在适当位置以保护结构的其余部分。然而,可以使用用于去除第一个伪栅电极111的部分的任何合适的工艺。
图9示出了,一旦去除了第一个伪栅极111的部分以切割第一个伪栅电极111,就可以用介电材料901替换去除的部分。在实施例中,介电材料901可以是诸如氮化硅的介电材料,并且可以使用诸如CVD、PVD、ALD、这些的组合等的工艺来形成,以填充和/或过填充通过去除第一个伪栅极111的部分形成的开口。然而,可以使用任何其他合适的材料和任何其它合适的工艺来形成介电材料901。
图10示出了一旦沉积了介电材料901以填充和/或过填充开口,就可以平坦化介电材料901。在实施例中,可以使用例如化学机械抛光工艺来执行平坦化。然而,可以使用任何合适的工艺,包括蚀刻和研磨工艺。
另外,平坦化工艺不仅可以用于去除延伸到第一间隔件113外部的介电材料901的那些部分,而且还用于去除硬掩模701和保留在上面的蚀刻停止层401的部分。这样,在平坦化工艺之后,蚀刻停止层401、第一间隔件113、ILD层501和介电材料901都彼此共享共面表面。
图11示出了,一旦已经平坦化介电材料901,则可以去除其他的伪栅电极111和栅极电介质。在实施例中,可以利用一个或多个蚀刻工艺,例如湿或干蚀刻工艺,其中蚀刻剂对伪栅电极111(例如,多晶硅)和伪栅极电介质的材料具有选择性。然而,可以使用任何合适的去除工艺。
图12示出了,一旦去除了伪栅电极111,就可以重新填充留下的开口以形成栅极堆叠件1201。在特定实施例中,栅极堆叠件1201包括第一介电材料、第一金属材料、第二金属材料和第三金属材料。在实施例中,第一介电材料是通过诸如原子层沉积、化学气相沉积等的工艺沉积的高k材料,例如HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、LaO、ZrO、Ta2O5、这些的组合等。第一介电材料可以沉积到约5埃和约200埃之间的厚度,但是可以使用任何合适的材料和厚度。
第一金属材料可以与第一介电材料相邻地形成,并且可以由诸如Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、WN、其它金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氮氧化物、金属铝酸盐、硅酸锆、铝酸锆、这些的组合等的金属材料形成。可以使用诸如原子层沉积、化学气相沉积、溅射等的沉积工艺来沉积第一金属材料至约5埃至约200埃的厚度,但是可以使用任何合适的沉积工艺或厚度。
第二金属材料可以与第一金属材料相邻地形成,并且在特定实施例中,可以与第一金属材料类似。例如,第二金属材料可以由诸如Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、WN、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氮氧化物、金属铝酸盐、硅酸锆、铝酸锆、这些的组合等的金属材料形成。另外,可以使用诸如原子层沉积、化学气相沉积、溅射等的沉积工艺来沉积第二金属材料至约5埃至约200埃的厚度,但是可以使用任何合适的沉积工艺或厚度。
通过去除伪栅电极111,第三金属材料填充留下的开口的剩余部分。在实施例中,第三金属材料是金属材料,例如W、Al、Cu、AlCu、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、这些的组合等,并且可以使用诸如原子层沉积、化学气相沉积、溅射等的沉积工艺来沉积,以填充和/或过填充通过去除伪栅电极111留下的开口。在特定实施例中,第三金属材料可以沉积到约5埃和约500埃之间的厚度,但是可以使用任何合适的材料、沉积工艺和厚度。
一旦填充了去除伪栅电极111而留下的开口,就可以平坦化材料,以便去除位于通过去除伪栅电极111留下的开口之外的任何材料。在特定实施例中,可以使用诸如化学机械抛光的平坦化工艺来执行去除。然而,可以使用任何合适的平坦化和去除工艺。
在已经形成并平坦化栅极堆叠件1201的材料之后,可以使栅极堆叠件1201的材料凹进并且用覆盖层1203覆盖。在实施例中,可以使用例如湿或干蚀刻工艺(利用对栅极堆叠件1201的材料具有选择性的蚀刻剂)使栅极堆叠件1201的材料凹进。然而,可以使用任何合适的工艺和距离。
一旦使栅极堆叠件1201的材料凹进,就可以沉积并平坦化覆盖层1203以与第一间隔件113齐平。在实施例中,覆盖层1203是使用诸如原子层沉积、化学气相沉积、溅射等的沉积工艺沉积的诸如SiN、SiON、SiCON、SiC、SiOC、这些的组合等的材料。可以将覆盖层1203沉积至约5埃至约200埃之间的厚度,然后使用诸如化学机械抛光的平坦化工艺平坦化,使得覆盖层1203与第一间隔件113共面。
图13示出了穿过ILD层501的第一开口1301的形成,以便暴露蚀刻停止层401以准备形成第一接触件1501(图13中未示出,但是下面相对于图15示出和描述)。在实施例中,第一开口1301可以使用蚀刻工艺形成,其中反应物对ILD层501的材料具有选择性,蚀刻工艺可以是各向异性蚀刻工艺,例如反应离子蚀刻工艺。然而,可以使用任何合适的工艺(例如湿蚀刻工艺)以及任何合适的反应物。
然而,通过利用各向异性沉积工艺来形成蚀刻停止层401以使第二厚度T2沿着开口的底部更大,在蚀刻工艺期间保护蚀刻停止层401下面的结构以去除ILD层501。特别地,因为蚀刻停止层401沿着开口的底面具有更大的厚度,所以蚀刻停止层401可以在去除ILD层501期间更好地保护下面的源极/漏极区117(例如,外延材料)免受不期望的损坏。这样,可以减少因不希望的损坏而产生的缺陷。
另外,可以进一步修改蚀刻停止层401的湿蚀刻速率,以便提供更多保护。在实施例中,可以修改在引入第二前体材料的引入期间的第二电极229的RF功率,以便修改蚀刻停止层401的湿蚀刻速率。例如,在蚀刻停止层401是氮化硅的实施例中,为了获得约14.58埃/3分钟的湿蚀刻速率,可以将RF功率设定为约300W,而为了获得约16.32埃/3分钟的湿蚀刻速率,可以将功率设定为约400W,或者可以将功率设定为约500W,以获得约21.63埃/3分钟的湿蚀刻速率。除了允许进一步的工艺可变性之外,每个湿蚀刻速率低于使用约40埃/3分钟的炉原子层沉积工艺形成的氮化硅的基线。
图14示出了由蚀刻停止层401形成第二间隔件1401以及下面的源极/漏极区117的暴露。在实施例中,可以使用各向异性蚀刻工艺来形成第二间隔件1401,各向异性蚀刻工艺从开口的底部去除部分蚀刻停止层401,同时保留沿着第一间隔件113的侧壁并且邻近第一间隔件113的蚀刻停止层401的那些部分。在实施例中,第二间隔件1401可以形成为具有介于约0埃和约80埃之间(例如约40埃)的第四厚度T4。然而,可以使用任何合适的尺寸和任何合适的形成方法来形成第二间隔件1401。
通过利用蚀刻停止层401来形成第二间隔件1401,可以在操作期间向制造的器件提供额外的保护。例如,第二间隔件1401可以帮助防止可能在栅极堆叠件1201和第一接触件1501之间发生的电流泄漏。
图15示出了第一接触件1501的形成。可选地,在形成第一接触件1501之前,可以形成硅化物接触件。硅化物接触件可包括钛、镍、钴或铒,以降低接触件的肖特基势垒高度。然而,也可以使用其他金属,例如铂、钯等。可以通过毯式沉积合适的金属层,然后进行退火步骤来进行硅化,该退火步骤使金属与下面暴露的硅反应。然后除去未反应的金属,例如通过选择性蚀刻工艺。硅化物接触件的厚度可以在约5nm和约50nm之间。
在实施例中,第一接触件1501可以是导电材料,例如Al、Cu、W、Co、Ti、Ta、Ru、TiN、TiAl、TiAlN、TaN、TaC、NiSi、CoSi、这些的组合等,但是可以使用诸如溅射、化学气相沉积、电镀、化学镀等的沉积工艺将任何合适的材料沉积到开口中,以填充和/或过填充开口。一旦填充或过填充,可以使用诸如化学机械抛光(CMP)的平坦化工艺去除开口外部的任何沉积材料。然而,可以使用任何合适的材料和形成工艺。另外,第一接触件1501可具有约5埃至约2000埃之间的厚度。
通过利用本文描述的实施例,可以获得多个优点。例如,较大的工艺窗口可以用于沉积蚀刻停止层401之后的工艺,这允许更大的灵活性以进一步集成工艺步骤。另外,在本文描述的有源器件和结构用于环形振荡器的实施例中,环形振荡器具有器件性能的提高。
根据实施例,一种制造半导体器件的方法包括:在半导体鳍上形成与栅极堆叠件相邻的间隔件;在栅极堆叠件上方并且与间隔件相邻地沉积蚀刻停止层,沉积蚀刻停止层包括:在栅极堆叠件上脉冲第一前体,第一前体是非等离子体;并且在脉冲第一前体之后,在栅极堆叠件上脉冲第二前体,第二前体是朝向半导体鳍偏置的等离子体。在实施例中,脉冲第一前体和脉冲第二前体形成具有多个厚度的第一材料。在实施例中,第一厚度与间隔件相邻,并且大于第一厚度的第二厚度与栅极堆叠件相邻。在实施例中,第二厚度比第一厚度大至少2.84nm。在实施例中,该方法包括在半导体鳍上点燃等离子体。在实施例中,第一前体是二碘硅烷403,第二前体是氮气。在实施例中,该方法包括蚀刻蚀刻停止层以形成第二间隔件。
根据另一实施例,一种制造半导体器件的方法包括:在半导体鳍上形成第一栅极堆叠件和第二栅极堆叠件;形成与第一栅极堆叠件和第二栅极堆叠件相邻的第一间隔件;脉冲第一前体以在自限反应中反应,以在第一栅极堆叠件的表面和第一间隔件的表面上形成第一反应产物;各向异性地将第一等离子体脉冲向第一反应产物,以形成第一材料的第一单层;重复脉冲第一前体并且各向异性地脉冲第一等离子体以形成第一材料的蚀刻停止层,蚀刻停止层具有与第一间隔件相邻的第一厚度和第一栅极堆叠件上的不同于第一厚度的第二厚度;以及蚀刻蚀刻停止层以形成与第一间隔件相邻的第二间隔件。在实施例中,第一前体是二碘硅烷403,第一等离子体是氮等离子体。在实施例中,该方法还包括在半导体鳍上点燃第一等离子体。在实施例中,该方法还包括沉积与第二间隔件相邻的导电材料。在实施例中,第二厚度大于第一厚度。在实施例中,第二厚度比第一厚度大至少2.84nm。在实施例中,脉冲第一前体在约2.5托至约22.5托的压力下发生。
根据又一实施例,一种制造半导体器件的方法包括:将位于衬底上的半导体鳍上并且与栅极堆叠件相邻的第一间隔件放置到沉积室中;执行第一步骤,包括将二碘硅烷403脉冲进入沉积室;在第一步骤之后净化沉积室;执行第二步骤,包括:将氮气脉冲进入沉积室;将氮气点燃成等离子体;以及使用定向偏压将等离子体导向衬底;以及重复第一步骤和第二步骤以构建氮化硅层。在实施例中,通过向沉积室的保持架内的第一电极施加偏压来执行引导等离子体。在实施例中,通过向沉积室内的喷头施加偏压来执行引导等离子体。在实施例中,氮化硅层具有与第一间隔件相邻的第一厚度和与栅极堆叠件相邻的与第一厚度不同的第二厚度。在实施例中,第二厚度比第一厚度大至少2.84nm。在实施例中,该方法包括:蚀刻氮化硅层以暴露源极/漏极区;以及沉积导电材料以与源极/漏极区接触。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并且不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。
Claims (10)
1.一种制造半导体器件的方法,包括:
在半导体鳍上形成与栅极堆叠件相邻的间隔件;
在所述栅极堆叠件上并且与所述间隔件相邻地沉积蚀刻停止层,沉积所述蚀刻停止层包括:
在所述栅极堆叠件上脉冲第一前体,所述第一前体是非等离子体;和
在脉冲所述第一前体之后,在所述栅极堆叠件上脉冲第二前体,所述第二前体是朝向所述半导体鳍偏置的等离子体,所述蚀刻停止层具有与所述间隔件相邻的第一厚度以及位于所述栅极堆叠件上的与所述第一厚度不同的第二厚度。
2.根据权利要求1所述的方法,其中,沉积所述蚀刻停止层包括在所述半导体鳍上沉积所述蚀刻停止层。
3.根据权利要求1所述的方法,其中,通过第一电极和第二电极形成的偏压来执行沉积所述蚀刻停止层,所述第一电极的功率设置为0W和1500W之间。
4.根据权利要求3所述的方法,其中,所述第二电极的功率设置为300W和500W之间。
5.根据权利要求1所述的方法,还包括在所述半导体鳍上点燃所述等离子体。
6.根据权利要求1所述的方法,其中,所述第一前体是二碘硅烷,并且所述第二前体是氮气。
7.根据权利要求1所述的方法,包括蚀刻所述蚀刻停止层以在所述间隔件上形成第二间隔件。
8.一种制造半导体器件的方法,所述方法包括:
在半导体鳍上形成第一栅极堆叠件和第二栅极堆叠件;
形成与所述第一栅极堆叠件和所述第二栅极堆叠件相邻的第一间隔件;
脉冲第一前体以在自限反应中反应,以在所述第一栅极堆叠件的表面和所述第一间隔件的表面上形成第一反应产物;
各向异性地将第一等离子体脉冲向所述第一反应产物,以形成第一材料的第一单层;
重复脉冲所述第一前体并且各向异性地脉冲所述第一等离子体以形成所述第一材料的蚀刻停止层,所述蚀刻停止层具有与所述第一间隔件相邻的第一厚度和所述第一栅极堆叠件上的不同于所述第一厚度的第二厚度;以及
蚀刻所述蚀刻停止层以形成与所述第一间隔件相邻的第二间隔件。
9.根据权利要求8所述的方法,其中,所述第一前体是二碘硅烷,并且所述第一等离子体是氮等离子体。
10.一种制造半导体器件的方法,所述方法包括:
将位于衬底上的半导体鳍上并且与栅极堆叠件相邻的第一间隔件放置到沉积室中;
执行第一步骤,所述第一步骤包括将二碘硅烷脉冲进入所述沉积室;
在所述第一步骤之后净化所述沉积室;
执行第二步骤,所述第二步骤包括:
将氮气脉冲进入所述沉积室;
将所述氮气点燃成等离子体;和
使用定向偏压将所述等离子体导向所述衬底;以及
重复所述第一步骤和所述第二步骤以构建氮化硅层。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862712885P | 2018-07-31 | 2018-07-31 | |
US62/712,885 | 2018-07-31 | ||
US16/213,140 US11600530B2 (en) | 2018-07-31 | 2018-12-07 | Semiconductor device and method of manufacture |
US16/213,140 | 2018-12-07 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN110783198A true CN110783198A (zh) | 2020-02-11 |
CN110783198B CN110783198B (zh) | 2023-08-18 |
Family
ID=69229855
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201910609308.9A Active CN110783198B (zh) | 2018-07-31 | 2019-07-08 | 半导体器件及其制造方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US11600530B2 (zh) |
KR (1) | KR102204251B1 (zh) |
CN (1) | CN110783198B (zh) |
TW (1) | TWI779216B (zh) |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102470206B1 (ko) * | 2017-10-13 | 2022-11-23 | 삼성디스플레이 주식회사 | 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자 |
US11069784B2 (en) * | 2019-05-17 | 2021-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US11508572B2 (en) | 2020-04-01 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
TWI759754B (zh) * | 2020-06-03 | 2022-04-01 | 台灣奈米碳素股份有限公司 | 製作半導體裝置的溝槽結構的乾式蝕刻製程 |
KR20220014588A (ko) * | 2020-07-29 | 2022-02-07 | 삼성전자주식회사 | 반도체 소자 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2013154842A1 (en) * | 2012-04-11 | 2013-10-17 | Tokyo Electron Limited | Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for finfet scheme |
TW201818504A (zh) * | 2016-08-03 | 2018-05-16 | 台灣積體電路製造股份有限公司 | 半導體裝置及其製造方法 |
US20180138405A1 (en) * | 2016-11-11 | 2018-05-17 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US20180151419A1 (en) * | 2016-11-29 | 2018-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a device having a doping layer and device formed |
Family Cites Families (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6428859B1 (en) * | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6991979B2 (en) * | 2003-09-22 | 2006-01-31 | International Business Machines Corporation | Method for avoiding oxide undercut during pre-silicide clean for thin spacer FETs |
US7615426B2 (en) | 2005-02-22 | 2009-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | PMOS transistor with discontinuous CESL and method of fabrication |
US8486845B2 (en) * | 2005-03-21 | 2013-07-16 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US8974868B2 (en) * | 2005-03-21 | 2015-03-10 | Tokyo Electron Limited | Post deposition plasma cleaning system and method |
DE102006040765B4 (de) | 2006-08-31 | 2011-02-03 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung eines Feldeffekttransistors mit einer verspannten Kontaktätzstoppschicht mit geringerer Konformität und Feldeffekttransistor |
US8053372B1 (en) * | 2006-09-12 | 2011-11-08 | Novellus Systems, Inc. | Method of reducing plasma stabilization time in a cyclic deposition process |
US7517766B2 (en) * | 2006-09-12 | 2009-04-14 | United Microelectronics Corp. | Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device |
KR20090012573A (ko) | 2007-07-30 | 2009-02-04 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US9076646B2 (en) * | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
JP5610850B2 (ja) * | 2010-05-28 | 2014-10-22 | 三菱重工業株式会社 | 窒化珪素膜の製造方法及び装置 |
US9142462B2 (en) | 2010-10-21 | 2015-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit having a contact etch stop layer and method of forming the same |
US9184045B2 (en) * | 2013-02-08 | 2015-11-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bottom-up PEALD process |
US20140273524A1 (en) * | 2013-03-12 | 2014-09-18 | Victor Nguyen | Plasma Doping Of Silicon-Containing Films |
US9824881B2 (en) * | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9564286B2 (en) * | 2014-08-14 | 2017-02-07 | Samsung Electronics Co., Ltd. | Method of forming thin film of semiconductor device |
US9576792B2 (en) * | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US9564312B2 (en) * | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9685340B2 (en) | 2015-06-29 | 2017-06-20 | International Business Machines Corporation | Stable contact on one-sided gate tie-down structure |
US10410857B2 (en) * | 2015-08-24 | 2019-09-10 | Asm Ip Holding B.V. | Formation of SiN thin films |
US9601693B1 (en) * | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9909214B2 (en) * | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10153351B2 (en) | 2016-01-29 | 2018-12-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US10529554B2 (en) * | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) * | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) * | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10153199B2 (en) * | 2016-03-25 | 2018-12-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and fabrication method therefor |
US10395919B2 (en) * | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102457289B1 (ko) * | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
JP6778144B2 (ja) * | 2017-04-25 | 2020-10-28 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
US10312055B2 (en) * | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10763108B2 (en) * | 2017-08-18 | 2020-09-01 | Lam Research Corporation | Geometrically selective deposition of a dielectric film |
US10867839B2 (en) * | 2018-06-15 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning methods for semiconductor devices |
-
2018
- 2018-12-07 US US16/213,140 patent/US11600530B2/en active Active
-
2019
- 2019-07-08 CN CN201910609308.9A patent/CN110783198B/zh active Active
- 2019-07-18 TW TW108125353A patent/TWI779216B/zh active
- 2019-07-31 KR KR1020190093432A patent/KR102204251B1/ko active IP Right Grant
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2013154842A1 (en) * | 2012-04-11 | 2013-10-17 | Tokyo Electron Limited | Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for finfet scheme |
TW201818504A (zh) * | 2016-08-03 | 2018-05-16 | 台灣積體電路製造股份有限公司 | 半導體裝置及其製造方法 |
US20180138405A1 (en) * | 2016-11-11 | 2018-05-17 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US20180151419A1 (en) * | 2016-11-29 | 2018-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a device having a doping layer and device formed |
TW201820478A (zh) * | 2016-11-29 | 2018-06-01 | 台灣積體電路製造股份有限公司 | 半導體元件及其製造方法 |
Also Published As
Publication number | Publication date |
---|---|
US20200043799A1 (en) | 2020-02-06 |
TW202008429A (zh) | 2020-02-16 |
KR102204251B1 (ko) | 2021-01-19 |
US11600530B2 (en) | 2023-03-07 |
TWI779216B (zh) | 2022-10-01 |
KR20200014254A (ko) | 2020-02-10 |
CN110783198B (zh) | 2023-08-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11380549B2 (en) | Semiconductor device with a work function layer having a concentration of fluorine | |
US11508849B2 (en) | Semiconductor device and methods of manufacture | |
CN110783198B (zh) | 半导体器件及其制造方法 | |
US11670695B2 (en) | Semiconductor device and method of manufacture | |
KR102301872B1 (ko) | 반도체 소자, 제조 방법, 및 제조 도구 | |
CN113035782A (zh) | 用于形成半导体器件和系统的沉积工艺 | |
TW202236393A (zh) | 電晶體閘極的形成方法 | |
US20230197524A1 (en) | Semiconductor Device and Method of Manufacture | |
TW202140839A (zh) | 半導體元件的製造方法 | |
US20240079265A1 (en) | Deposition Method for Semiconductor Device | |
US12087838B2 (en) | Self-aligned contact hard mask structure of semiconductor device and method of forming same | |
US11955370B2 (en) | Semiconductor devices and methods of manufacture | |
CN115084017A (zh) | 制造半导体器件的方法 | |
CN117393435A (zh) | 半导体器件的沉积方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |