CN110060955B - 半导体元件及其制作方法 - Google Patents

半导体元件及其制作方法 Download PDF

Info

Publication number
CN110060955B
CN110060955B CN201810048650.1A CN201810048650A CN110060955B CN 110060955 B CN110060955 B CN 110060955B CN 201810048650 A CN201810048650 A CN 201810048650A CN 110060955 B CN110060955 B CN 110060955B
Authority
CN
China
Prior art keywords
layer
metal
forming
dielectric layer
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810048650.1A
Other languages
English (en)
Other versions
CN110060955A (zh
Inventor
许闵翔
柯元富
张志圣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to CN201810048650.1A priority Critical patent/CN110060955B/zh
Priority to US15/893,711 priority patent/US10204826B1/en
Publication of CN110060955A publication Critical patent/CN110060955A/zh
Application granted granted Critical
Publication of CN110060955B publication Critical patent/CN110060955B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Geometry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开一种半导体元件及其制作方法,其中制作半导体元件的方法包括,其主要先形成一金属间介电层于一基底上,形成一开口于金属间介电层内,进行一处理制作工艺将部分金属间介电层转换为一受损层于开口旁,形成一保护层于该受损层侧壁,形成一导电层于开口内,之后再去除受损层以形成一气孔于保护层旁。

Description

半导体元件及其制作方法
技术领域
本发明涉及一种制作半导体元件的方法,尤其是涉及一种去除镶嵌于介电层中的一受损层(damaged layer)的方法。
背景技术
近年来,随着场效晶体管(field effect transistors,FETs)元件尺寸持续地缩小,现有平面式(planar)场效晶体管元件的发展已面临制作工艺上的极限。为了克服制作工艺限制,以非平面(non-planar)的场效晶体管元件,例如鳍状场效晶体管(fin fieldeffect transistor,Fin FET)元件来取代平面晶体管元件已成为目前的主流发展趋势。由于鳍状场效晶体管元件的立体结构可增加栅极与鳍状结构的接触面积,因此,可进一步增加栅极对于载流子通道区域的控制,从而降低小尺寸元件面临的漏极引发能带降低(draininduced barrier lowering,DIBL)效应,并可以抑制短通道效应(short channel effect,SCE)。再者,由于鳍状场效晶体管元件在同样的栅极长度下会具有更宽的通道宽度,因而可获得加倍的漏极驱动电流。甚而,晶体管元件的临界电压(threshold voltage)也可通过调整栅极的功函数而加以调控。
一般而言,半导体制作工艺在进入10纳米世代后接触插塞的接触面积会大幅降低,造成阻值的增加。除此之外,在制作接触插塞的过程中,特别是利用蚀刻形成接触洞时容易损伤周边的介电层而形成受损区域并影响元件的运作。因此如何在现今场效晶体管的架构下改良此问题即为现今一重要课题。
发明内容
本发明一实施例公开一种制作半导体元件的方法,其主要先形成一金属间介电层于一基底上,形成一开口于金属间介电层内,进行一处理制作工艺将部分金属间介电层转换为一受损层于开口旁,形成一保护层于该受损层侧壁,形成一导电层于开口内,之后再去除受损层以形成一气孔于保护层旁。
本发明另一实施利公开一种半导体元件,其主要包含:一金属间介电层设于一基底上,一金属内连线设于金属间介电层内,一气孔设于金属内连线以及金属间介电层之间以及一保护层设于气孔以及金属内连线之间,其中金属内连线下表面低于气孔下表面。
附图说明
图1至图8为本发明一实施例制作半导体元件的方法示意图;
图9为本发明一实施例的半导体元件的结构示意图。
主要元件符号说明
12 基底 14 介电层
16 图案化导电层 18 停止层
20 缓冲层 22 金属间介电层
24 硬掩模 26 开口
28 处理制作工艺 30 受损层
32 保护层 34 金属内连线
36 金属层 38 气孔
40 紫外光固化制作工艺 42 高压缩蚀刻停止层
具体实施方式
请参照图1至图8,图1至图8为本发明一实施例制作半导体元件的方法示意图。如图1所示,首先提供一基底12,基底12上可包含例如金属氧化物半导体(metal-oxidesemiconductor,MOS)晶体管等主动元件(有源元件)以及/或其他被动元件(无源元件)。更具体而言,基底12上可包含平面型或非平面型(如鳍状结构晶体管)等MOS晶体管元件、层间介电层(interlayer dielectric,ILD)覆盖MOS晶体管元件以及接触插塞设于层间介电层内并电连接MOS晶体管元件,其中MOS晶体管可包含金属栅极、源极/漏极区域、间隙壁、外延层、接触洞蚀刻停止层等晶体管元件。由于平面型或非平面型晶体管元件等相关制作工艺均为本领域所熟知技术,在此不另加赘述。
然后形成一介电层14于层间介电层(图未示)上,并于介电层14中形成多个图案化导电层16或导线电连接层间介电层内的接触插塞,其中图案化导电层16上表面较佳切齐介电层14上表面。在本实施例中,各图案化导电层16或导线较佳为一沟槽导体(trenchconductor)或接触洞导体(viaconductor),其可更细部包含一阻障层与一金属层,其中阻障层可选自由钛(Ti)、氮化钛(TiN)、钽(Ta)以及氮化钽(TaN)所构成的群组,而金属层可选自由钨(W)、铜(Cu)、铝(Al)、钛铝合金(TiAl)、钴钨磷化物(cobalt tungsten phosphide,CoWP)等所构成的群组,但不局限于此。
接着形成一介电堆叠结构于基底12上,例如可依序形成一停止层18、一缓冲层20、一金属间介电层22以及一硬掩模24于介电层14上,其中停止层18较佳为一蚀刻停止层(etch stop layer,ESL),其可包含氮掺杂碳化物层(nitrogen doped carbide,NDC)或氮碳化硅(silicon carbon nitride,SiCN),缓冲层20较佳包含四乙氧基硅烷(Tetraethylorthosilicate,TEOS),硬掩模24则较佳由氧化硅所构成,但不局限于此。另外金属间介电层22较佳由低介电常数介电材料所构成,其可选自由含碳介电材料、含氮介电材料、含氢介电材料以及多孔介电结构所构成的群组,例如含碳二氧化硅、含氟二氧化硅、多孔二氧化硅或多孔含碳二氧化硅。
接着进行一光刻及蚀刻制作工艺,例如先形成一图案化光致抗蚀剂(图未示)于硬掩模24上,然后利用图案化光致抗蚀剂为掩模进行一蚀刻制作工艺,去除部分硬掩模24、部分金属间介电层22、部分缓冲层20以及部分停止层18,以形成开口26暴露介电层14内的图案化导电层16表面。之后可进行一溶剂清洗(solvent clean)步骤来去除开口表面的残余物,其中溶剂清洗步骤可包含一标准RCA清洗步骤,但不局限于此。
随后如图2所示,再额外进行一处理制作工艺28将部分金属间介电层22转换为一受损层30于开口26旁。更具体而言,本实施例的处理制作工艺28较佳进行一湿式清洗制作工艺,利用例如过氧化氢(hydrogen peroxide,H2O2)等蚀刻剂在不伤害硬掩模24、缓冲层20以及停止层18的情况下来损伤或改变部分金属间介电层22的表面特性,由此将暴露于开口26的部分金属间介电层22转换为受损层30。
如图3所示,然后沉积一保护层32于开口26内并同时覆盖硬掩模24上表面、硬掩模24侧壁、受损层30侧壁、缓冲层20侧壁、停止层18侧壁以及图案化导电层16表面。在本实施例中,保护层32较佳为一单层结构,其较佳包含氮化铝(aluminum nitride,AlN),但不局限于此。
接着如图4所示,进行一干蚀刻制作工艺,例如一各向异性蚀刻去除位于硬掩模24上表面的保护层32以及图案化导电层16表面的保护层32,使剩余的保护层32仍设于硬掩模24、受损层22、缓冲层20以及停止层18侧壁。
如图5所示,然后进行一金属内连线制作工艺,以于开口26内形成金属内连线34分别连接并接触图案化导电层16。在本实施例中,形成金属内连线的方式可依序沉积一阻隔层(图未示)与一金属层36于图案化导电层16上、保护层32侧壁表面以及硬掩模24上表面并填满开口26,然后利用一平坦化制作工艺,例如一化学机械研磨(chemical mechanicalpolishing,CMP)制作工艺去除部分金属层36、部分阻隔层、部分保护层32以及硬掩模24,以于开口26中形成金属内连线34并同时暴露出镶嵌于金属间介电层22中的受损层30,其中金属内连线34上表面较佳与受损层30、金属间介电层22以及保护层32上表面切齐。在本实施例中,阻隔层较佳选自由钛、钽、氮化钛、氮化钽以及氮化钨所构成的群组,金属层30较佳选自由铝、钛、钽、钨、铌、钼以及铜所构成的群组,但不局限于此。
如图6所示,接着依序进行一蚀刻制作工艺去除受损层30但不去除任何保护层32,以于原本受损层30的位置形成气孔38,其中气孔38的底部较佳暴露出缓冲层20上表面。在本实施例中,用来去除受损层30的蚀刻制作工艺较佳选用包含氢氟酸(HF)的蚀刻剂来去除受损层30。
然后如图7所示,进行一紫外光固化制作工艺40,其主要用来弥补一般形成气孔38时容易造成可靠度(reliability)不足的问题。在本实施例中,紫外光固化制作工艺40的温度较佳介于摄氏300度至400度且时间较佳介于60秒至140秒。
随后如图8所示,形成一具有高压缩应力的高压缩蚀刻停止层42并覆盖金属间介电层22、气孔38以及金属层36上,使气孔38被包围在缓冲层20、保护层32、高压缩蚀刻停止层42以及金属间介电层22中。在本实施例中,高压缩蚀刻停止层42较佳包含氮碳化硅(SiCN)、氮化铝或其组合。至此即完成本发明较佳实施例的半导体元件的制作。
请继续参照图9,图9为本发明一实施例的半导体元件的结构示意图。如图9所示,相较于图6以蚀刻去除受损层30时不去除任何保护层32,本发明可于图6利用蚀刻去除受损层30的时候调整蚀刻的选择比,例如以氢氟酸同时去除受损层30以及部分保护层32以于金属间介电层22以及金属内连线34之间形成气孔38,其中剩余的保护层32上表面较佳切齐缓冲层20上表面。之后再比照图7至图8的步骤依序进行紫外光固化制作工艺40以及形成一高压缩蚀刻停止层42于金属间介电层22、气孔38以及金属内连线34上。以最终结构来看,相较于图8的气孔38是由缓冲层20、保护层32、高压缩蚀刻停止层42以及金属间介电层22所环绕,本实施例所形成的气孔38较佳被缓冲层20、保护层32、金属内连线34、高压缩蚀刻停止层42以及金属间介电层22所环绕。
以上所述仅为本发明的优选实施例,凡依本发明权利要求所做的均等变化与修饰,都应属本发明的涵盖范围。

Claims (16)

1.一种制作半导体元件的方法,其特征在于,包含:
形成一金属间介电层于一基底上;
形成一开口于该金属间介电层内;
进行一处理制作工艺将部分该金属间介电层转换为一受损层于该开口旁,其中该处理制作工艺包含进行一湿式清洗制作工艺以蚀刻部分该金属间介电层以形成该受损层;
形成一保护层于该受损层侧壁;
形成一金属层于该开口内;
去除该受损层以形成一气孔于该保护层旁;以及
在形成该气孔之后进行一紫外光固化制作工艺,以弥补形成该气孔时造成可靠度不足的问题。
2.如权利要求1所述的方法,另包含:
形成一介电层于该基底上,其中该介电层内包含一图案化导电层;
形成一停止层于该介电层上;
形成一缓冲层于该停止层上;
形成该金属间介电层于该缓冲层上;以及
形成该开口于该缓冲层、该停止层以及该金属间介电层内并暴露出该图案化导电层。
3.如权利要求2所述的方法,其中该图案化导电层上表面切齐该介电层上表面。
4.如权利要求2所述的方法,另包含:
形成该保护层于该受损层、该缓冲层以及该停止层侧壁;
形成该金属层并填满该开口;以及
进行一平坦化制作工艺去除部分该金属层以形成一金属内连线。
5.如权利要求2所述的方法,另包含在该紫外光固化制作工艺之后形成一高压缩蚀刻停止层于该金属间介电层以及该金属层上。
6.如权利要求5所述的方法,其中该气孔由该缓冲层、该保护层、该高压缩蚀刻停止层以及该金属间介电层所环绕。
7.如权利要求1所述的方法,另包含利用过氧化氢来进行该湿式清洗制作工艺。
8.一种半导体元件,其特征在于,包含:
金属间介电层,设于一基底上;
金属内连线,设于该金属间介电层内;
气孔,设于该金属内连线以及该金属间介电层之间,其中该金属内连线的下表面低于该气孔的下表面;以及
保护层,设于该金属内连线的侧壁,该保护层的下表面与该金属内连线的下表面切齐,且该保护层的上表面与该气孔的下表面切齐。
9.如权利要求8所述的半导体元件,另包含停止层,设于该金属间介电层以及该基底之间。
10.如权利要求9所述的半导体元件,另包含缓冲层,设于该停止层以及该金属间介电层之间。
11.如权利要求10所述的半导体元件,另包含高压缩蚀刻停止层,设于该金属间介电层以及该金属内连线上。
12.如权利要求11所述的半导体元件,其中该气孔由该缓冲层、该保护层、该高压缩蚀刻停止层以及该金属间介电层所环绕。
13.如权利要求8所述的半导体元件,另包含介电层,设于该金属间介电层以及该基底之间,其中该介电层内包含图案化导电层。
14.如权利要求13所述的半导体元件,其中该图案化导电层上表面切齐该介电层上表面。
15.如权利要求13所述的半导体元件,其中该金属内连线直接接触该图案化导电层。
16.如权利要求8所述的半导体元件,其中该保护层包含氮化铝。
CN201810048650.1A 2018-01-18 2018-01-18 半导体元件及其制作方法 Active CN110060955B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201810048650.1A CN110060955B (zh) 2018-01-18 2018-01-18 半导体元件及其制作方法
US15/893,711 US10204826B1 (en) 2018-01-18 2018-02-12 Method for removing damaged layer embedded in a dielectric layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810048650.1A CN110060955B (zh) 2018-01-18 2018-01-18 半导体元件及其制作方法

Publications (2)

Publication Number Publication Date
CN110060955A CN110060955A (zh) 2019-07-26
CN110060955B true CN110060955B (zh) 2021-11-30

Family

ID=65241753

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810048650.1A Active CN110060955B (zh) 2018-01-18 2018-01-18 半导体元件及其制作方法

Country Status (2)

Country Link
US (1) US10204826B1 (zh)
CN (1) CN110060955B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110858578B (zh) * 2018-08-23 2021-07-13 联华电子股份有限公司 管芯封环及其制造方法
US10943983B2 (en) * 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
WO2021101701A1 (en) * 2019-11-18 2021-05-27 Tokyo Electron Limited Split ash processes for via formation to suppress damage to low-k layers
US11222811B2 (en) * 2019-12-09 2022-01-11 Nanya Technology Corporation Semiconductor device structure with air gap and method for forming the same
US11251128B2 (en) * 2020-02-11 2022-02-15 Nanya Technology Corporation Semiconductor device structure with air gap for reducing capacitive coupling
US11742210B2 (en) * 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition window enlargement

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101009266A (zh) * 2006-01-27 2007-08-01 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN101231969A (zh) * 2007-01-26 2008-07-30 台湾积体电路制造股份有限公司 集成电路结构的形成方法
CN101399222A (zh) * 2007-09-24 2009-04-01 台湾积体电路制造股份有限公司 具有空气间隙的半导体元件的制造方法
CN101425500A (zh) * 2007-11-01 2009-05-06 台湾积体电路制造股份有限公司 集成电路结构
CN103094190A (zh) * 2011-11-01 2013-05-08 中芯国际集成电路制造(上海)有限公司 互连层中空气间隙的形成方法
CN103367237A (zh) * 2012-04-09 2013-10-23 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN105206562A (zh) * 2014-06-18 2015-12-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
CN106169439A (zh) * 2015-05-19 2016-11-30 三星电子株式会社 布线结构、形成布线结构的方法以及半导体器件
CN106960844A (zh) * 2016-01-11 2017-07-18 联华电子股份有限公司 半导体元件及其制作方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7094669B2 (en) * 2004-08-03 2006-08-22 Chartered Semiconductor Manufacturing Ltd Structure and method of liner air gap formation
US7566656B2 (en) 2006-12-22 2009-07-28 Chartered Semiconductor Manufacturing, Ltd. Method and apparatus for providing void structures
US7829268B2 (en) * 2007-10-17 2010-11-09 Tokyo Electron Limited Method for air gap formation using UV-decomposable materials
JP2009135139A (ja) * 2007-11-28 2009-06-18 Toshiba Corp 半導体装置及びその製造方法
US8456009B2 (en) * 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8030202B1 (en) 2010-12-10 2011-10-04 International Business Machines Corporation Temporary etchable liner for forming air gap
CN106033754B (zh) * 2015-03-11 2019-04-12 联华电子股份有限公司 具有纳米孔隙的半导体元件及其制造方法
CN105810716A (zh) * 2016-04-01 2016-07-27 友达光电股份有限公司 柔性显示装置及制备方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101009266A (zh) * 2006-01-27 2007-08-01 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN101231969A (zh) * 2007-01-26 2008-07-30 台湾积体电路制造股份有限公司 集成电路结构的形成方法
CN101399222A (zh) * 2007-09-24 2009-04-01 台湾积体电路制造股份有限公司 具有空气间隙的半导体元件的制造方法
CN101425500A (zh) * 2007-11-01 2009-05-06 台湾积体电路制造股份有限公司 集成电路结构
CN103094190A (zh) * 2011-11-01 2013-05-08 中芯国际集成电路制造(上海)有限公司 互连层中空气间隙的形成方法
CN103367237A (zh) * 2012-04-09 2013-10-23 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN105206562A (zh) * 2014-06-18 2015-12-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
CN106169439A (zh) * 2015-05-19 2016-11-30 三星电子株式会社 布线结构、形成布线结构的方法以及半导体器件
CN106960844A (zh) * 2016-01-11 2017-07-18 联华电子股份有限公司 半导体元件及其制作方法

Also Published As

Publication number Publication date
US10204826B1 (en) 2019-02-12
CN110060955A (zh) 2019-07-26

Similar Documents

Publication Publication Date Title
CN110060955B (zh) 半导体元件及其制作方法
US10985054B2 (en) Interconnect structure and method of forming the same
US10049929B2 (en) Method of making semiconductor structure having contact plug
TWI646587B (zh) 通過後閘極切割製程提高裝置性能的裝置及方法
US11088021B2 (en) Interconnect structure and method of forming the same
US11271112B2 (en) Method for forming fin field effect transistor (FINFET) device structure with conductive layer between gate and gate contact
US10008409B2 (en) Method for fabricating a semiconductor device
US8927407B2 (en) Method of forming self-aligned contacts for a semiconductor device
US11011636B2 (en) Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
KR102024971B1 (ko) 반도체 디바이스 및 그 제조 방법
CN109545735B (zh) 金属内连线结构及其制作方法
US20140154852A1 (en) Method for forming semiconductor structure having metal connection
CN110729343B (zh) 半导体元件及其制作方法
TWI749847B (zh) 具有氣隙的半導體元件結構及其製備方法
CN110838464B (zh) 金属内连线结构及其制作方法
US20160020144A1 (en) Method for fabricating semiconductor device
US10833167B2 (en) Fin field effect transistor (finFET) device structure and method for forming the same
US11094900B2 (en) Semiconductor device and method for fabricating the same
KR100565432B1 (ko) 반도체 장치의 트랜지스터 및 그 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant