CN109976097A - 形成微图案的方法及基板处理设备 - Google Patents

形成微图案的方法及基板处理设备 Download PDF

Info

Publication number
CN109976097A
CN109976097A CN201811612414.4A CN201811612414A CN109976097A CN 109976097 A CN109976097 A CN 109976097A CN 201811612414 A CN201811612414 A CN 201811612414A CN 109976097 A CN109976097 A CN 109976097A
Authority
CN
China
Prior art keywords
adhesive layer
group
photoresist film
layer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811612414.4A
Other languages
English (en)
Other versions
CN109976097B (zh
Inventor
张相信
白宗玟
徐训硕
李义福
姜成进
V.阮
郑德泳
安商熏
吴赫祥
刘禹炅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109976097A publication Critical patent/CN109976097A/zh
Application granted granted Critical
Publication of CN109976097B publication Critical patent/CN109976097B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J4/00Adhesives based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; adhesives, based on monomers of macromolecular compounds of groups C09J183/00 - C09J183/16
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

这里提供了一种形成微图案的方法,其包括:在基板上形成蚀刻目标膜;在蚀刻目标膜上形成光敏辅助层,光敏辅助层用亲水基团封端;在光敏辅助层上形成粘合层,粘合层与亲水基团形成共价键;在粘合层上形成疏水的光致抗蚀剂膜;以及图案化光致抗蚀剂膜。

Description

形成微图案的方法及基板处理设备
技术领域
本发明构思涉及形成微图案的方法以及基板处理设备,更具体地,涉及可由其获得清晰的图案而没有图案倒塌的形成微图案的方法以及基板处理设备。
背景技术
已经开发了使用极紫外线的光刻技术以形成微图案。与常用的光相比,极紫外线表现出各种不同的特性,因而需要修改详细的工艺。此外,随着图案持续地小型化,光致抗蚀剂图案逐渐倒塌,因此,需要开发解决该缺点的方法。
发明内容
本发明构思提供了可由其获得清晰的图案而没有图案倒塌的形成微图案的方法。
本发明构思提供了可通过其获得清晰的图案而没有图案倒塌的基板处理设备。
根据本发明构思的一方面,提供了一种形成微图案的方法,其包括:在基板上形成蚀刻目标膜;形成用亲水基团封端的光敏辅助层;在光敏辅助层上形成粘合层,粘合层与亲水基团形成共价键;在粘合层上形成光致抗蚀剂膜;以及图案化光致抗蚀剂膜。
根据本发明构思的另一方面,提供了一种形成微图案的方法,其包括:在基板上形成蚀刻目标膜;在蚀刻目标膜上形成光敏辅助层;在光敏辅助层上形成粘合层;在粘合层上形成疏水的光致抗蚀剂膜;以及图案化光致抗蚀剂膜。
根据本发明构思的另一方面,提供了一种基板处理设备,其包括:第一处理腔室,构造为对基板执行第一处理;第二处理腔室,构造为对第一处理后的基板执行第二处理;传送腔室,构造成将第一处理腔室中的基板传送到第二处理腔室。
附图说明
本发明构思的实施方式将由以下结合附图的详细描述被更清楚地理解,附图中:
图1A是示出根据本发明构思的一实施方式的形成微图案的原理的概念图;
图1B是图1A的部分B的放大图并且是示出根据本发明构思的一实施方式的粘合层的功能的概念图;
图2是示出根据本发明构思的一实施方式的形成微图案的方法的流程图;
图3A至3H是示出根据本发明构思的一实施方式的形成微图案的方法的剖视图;
图4是示出可使用根据本发明构思的形成微图案的方法实现的示例半导体器件的存储系统的示意性框图;
图5是用于说明单元阵列的示例结构的电路图;
图6是示出可按照根据本发明构思的形成微图案的方法实现的半导体器件的结构的局部俯视图;
图7A至7F是顺序地示出根据本发明构思的一实施方式的形成半导体器件的微图案的方法的剖视图;
图8A和8B是示出根据本发明构思的实施方式的基板处理设备的俯视图;
图9是示出根据本发明构思的另一实施方式的基板处理设备的俯视图;以及
图10是示出作为等离子体沉积设备的示例的氢等离子体化学气相沉积设备的侧剖视图。
具体实施方式
图1A是示出根据本发明构思的一实施方式的形成微图案的原理的概念图。
参照图1A,光敏辅助层110、粘合层120和光致抗蚀剂膜130可以顺序地提供在蚀刻目标膜105上。
蚀刻目标膜105是待图案化的材料膜,并且材料的类型不受特别限制。例如,蚀刻目标膜105可以是半导体材料膜、绝缘材料膜、碳基材料膜或金属材料膜。
在一些实施方式中,半导体材料膜可以包括硅(Si),例如晶体Si、多晶Si或非晶Si。在一些另外的实施方式中,半导体材料膜可以包括诸如锗(Ge)的半导体,或诸如硅锗(SiGe)、硅碳化物(SiC)、镓砷化物(GaAs)、铟砷化物(InAs)或铟磷化物(InP)的化合物半导体。
绝缘材料膜可以是硅氧化物(SiOx)膜、硅氮化物(SiN)膜、硅氧碳氮化物(SiOCN)膜、硅碳氮化物(SiCN)膜或其组合。硅氧化物可以是旋涂电介质(SOD)氧化物、高密度等离子体(HDP)氧化物、热氧化物、硼磷硅酸盐玻璃(BPSG)、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、聚硅氮烷(PSZ)、氟化硅酸盐玻璃(FSG)、低压原硅酸四乙酯(LP-TEOS)、等离子体增强原硅酸四乙酯(PE-TEOS)、东燃硅氮烷(TOSZ)、可流动氧化物(FOX)、高温氧化物(HTO)、中温氧化物(MTO)、无掺杂硅酸盐玻璃(USG)、旋涂玻璃(SOG)、原子层沉积(ALD)氧化物、等离子体增强(PE)氧化物、O3-TEOS或其组合,但本发明构思不限于此。
碳基材料膜可以包括非晶碳层(ACL)或由旋涂硬掩模(SOH)材料形成的含碳膜。由SOH材料形成的含碳膜可以由具有相对高的碳含量(即相对于其总重量约85wt%到约99wt%)的有机化合物形成。有机化合物可以是含芳环的烃化合物或其衍生物。
金属材料膜可以包括从以下选择的至少一种金属:铜(Cu)、钛(Ti)、钽(Ta)、钨(W)、钌(Ru)、铌(Nb)、钼(Mo)、铪(Hf)、镍(Ni)、钴(Co)、铂(Pt)、镱(Yb)、铽(Tb)、镝(Dy)、铒(Er)和钯(Pd)。
光敏辅助层110可以是由当用极紫外(EUV)光(例如具有约13.5nm的波长的光)照射时能够发射二次电子的材料形成的材料层。如图1A概念性地所示,当光敏辅助层110用EUV光照射时,光敏辅助层110的照射区可以将由吸收自发射的EUV光的能量激发的电子释放到位于其上方的光致抗蚀剂膜130。
在一些实施方式中,光敏辅助层110可以包括非晶硅(a-Si)、锡氧化物(SnOx)、钛氧化物(TiOx)或硅氮氧化物(SiON)。
粘合层120可以增强位于其下方的光敏辅助层110与位于其上的光致抗蚀剂膜130之间的粘合性。粘合层120可具有约到约的厚度。当粘合层120的厚度太小时,增强光敏辅助层110与光致抗蚀剂膜130之间的粘合性的效果可能不足。当粘合层120的厚度太大时,光敏辅助层110的辅助光敏效果可能劣化。换言之,从光敏辅助层110的EUV光照射区释放的二次电子不会被转移到光致抗蚀剂膜130。
图1B是图1A的部分B的放大图并且是示出根据本发明构思的一实施方式的粘合层120的功能的概念图。
参照图1B,粘合层120可以与光敏辅助层110形成共价键。粘合层120可以被形成使得硅烷化合物和/或硅氮烷化合物彼此键合,并且键合分子的末端可以键合到光敏辅助层110。
例如,粘合层120可以被形成使得具有单个硅原子的烷基甲硅烷或烷氧基甲硅烷(alkyl monosilanes or alkoxy monosilanes)、具有两个或更多个硅原子的烷基乙硅烷或烷氧基乙硅烷(alkyl disilanes or alkoxy disilanes)、烷基硅氮烷(alkylsilazanes)和/或烷氧基二硅氮烷(alkoxy disilazanes)彼此键合到链、分支或网络型结构中。虽然图1B将粘合层120示出为具有链型键合状态,但本发明构思不限于此。
如上所述,粘合层120的一端可以与光敏辅助层110形成共价键。同时,粘合层120的另一端可以用C1-C5烷基基团封端。烷基基团可以是疏水的,并且当光致抗蚀剂膜130是疏水的时,粘合层120与光致抗蚀剂膜130之间的粘合性可以相对较强。粘合层120和光致抗蚀剂膜130可以在其间形成共价键合,但是可以通过相对强的范德华力彼此键合。
粘合层120的表面(图1A中粘合层120的下表面)与光敏辅助层110形成共价键,并且其另一表面(图1A中粘合层120的上表面)与光致抗蚀剂膜130形成共价键或相对强的范德华键,因而当光致抗蚀剂膜130被图案化时,可以有效地防止图案倒塌。
此外,因为粘合层120的厚度不厚,而是非常小或薄,所以粘合层120可以不干扰从光敏辅助层110产生的二次电子从其中穿过以及二次电子向光致抗蚀剂膜130的转移。
参照回图1A,光致抗蚀剂膜130的由穿过光掩模PM的光曝光的部分可以具有在经历化学反应的同时被改变的性质。下面将更详细地描述该方面。
除了穿过光掩模PM的光之外,在穿过光致抗蚀剂膜130和粘合层120之后入射在光敏辅助层110上的光可以以如上所述的二次电子的形式部分地有助于光致抗蚀剂膜130相对于光的曝光。也就是,在穿过光致抗蚀剂膜130和粘合层120之后入射在光敏辅助层110上的光所传递的光能可以激发光敏辅助层110的电子以被发射到光致抗蚀剂膜130。电子(二次电子)可以有助于光致抗蚀剂膜130中的酸碱反应,从而有助于光致抗蚀剂膜130相对于光的曝光。
图2是示出根据本发明构思的一实施方式的形成微图案的方法的流程图。
图3A至3H是示出根据本发明构思的一实施方式的形成微图案的方法的剖视图。
参照图2和3A,蚀刻目标膜105可以提供在基板101上。
基板101可以包括Si,例如晶体Si、多晶Si或非晶Si。在一些实施方式中,基板101可以包括诸如Ge的半导体,或者诸如硅锗(SiGe)、硅碳化物(SiC)、镓砷化物(GaAs)、铟砷化物(InAs)或铟磷化物(InP)的化合物半导体。在一些实施方式中,基板101可以具有绝缘体上硅(SOI)结构。例如,基板101可以包括掩埋氧化物(BOX)层。在一些实施方式中,基板101可以包括导电区例如掺杂杂质阱或掺杂杂质结构。
此外,诸如晶体管或二极管的半导体器件可以形成在基板101上。此外,多个布线在基板101上布置成多层,并且布线可以通过层间绝缘层彼此电分离。
蚀刻目标膜105可以是半导体材料膜、绝缘材料膜、碳基材料膜、金属材料膜或其组合。以上已经参照图1A描述了这些材料膜,因而这里将省略其详细描述。
蚀刻目标膜105可以根据材料的类型使用适当的方法形成,诸如物理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)、旋涂等。
在一些实施方式中,蚀刻目标膜105和基板101可以一体地形成。换言之,蚀刻目标膜105与基板101之间的界面可以是不可识别的或者可以不存在。
参照图2和3B,光敏辅助层110可以在蚀刻目标膜105上形成(操作S110)。以上已经参照图1A描述了光敏辅助层110的材料,因而这里将省略其详细描述。
光敏辅助层110可以使用诸如PVD、CVD或ALD的方法形成。在一些实施方式中,光敏辅助层110可以通过等离子体增强CVD(PECVD)、高密度等离子体CVD(HDP CVD)、电感耦合等离子体CVD(ICP CVD)或电容耦合等离子体CVD(CCP CVD)形成。在一些实施方式中,光敏辅助层110可以通过PECVD形成。
光敏辅助层110的上表面可以用亲水基团(-A)封端。亲水基团可以是例如羟基基团(-OH)、羧基基团(-COOH)、氨基基团(-NH2)、羰基基团(-CO-)和巯基基团(-SH)中的至少一种。
亲水基团(-A)可以是自然存在的或者可以通过表面处理被附接。表面处理可以是使表面与诸如醇、羧酸、胺、醚、硫醇等的化合物接触的处理。考虑到光敏辅助层110的材料而附接亲水基团(-A)的方法将为本领域普通技术人员所理解。
参照图2和3C,粘合层120在光敏辅助层110上形成(操作S120)。
可以使用硅烷化合物和/或硅氮烷化合物作为用于形成粘合层120的源材料。
硅烷化合物可以是甲硅烷或乙硅烷化合物。硅氮烷化合物可以是甲硅氮烷或二硅氮烷(disilazane)化合物。硅氮烷化合物也可以被称为氨基硅烷化合物。
甲硅烷化合物可以具有由分子式SiR1R2R3R4表示的结构。在以上分子式中,R1、R2、R3和R4的每个可以独立地为氢、C1-C5烷基基团、C1-C5烷氧基基团、C2-C5烯基基团、C2-C5炔基基团或C6-C10芳基基团。这里,R1、R2、R3和R4的全部可以不同时为氢,使得其中的至少两个可以是氢以外的取代基。
例如,甲硅烷化合物可以是从以下选择的至少一种:四甲氧基硅烷、四乙氧基硅烷、四正丙氧基硅烷、四异丙氧基硅烷、四正丁氧基硅烷、四叔丁氧基硅烷、二甲基二甲氧基硅烷、二甲基二乙氧基硅烷、二乙基二甲氧基硅烷、甲基三乙氧基硅烷、乙基三甲氧基硅烷、四甲基硅烷、甲氧基三甲基硅烷、乙氧基三甲基硅烷、异丙氧基三甲基硅烷、叔丁氧基三甲基硅烷、叔戊氧基三甲基硅烷、甲氧基三乙基硅烷、乙氧基三乙基硅烷、异丙氧基三乙基硅烷、叔丁氧基三乙基硅烷、叔戊氧基三乙基硅烷、甲氧基二甲基硅烷、乙氧基二甲基硅烷、异丙氧基二甲基硅烷、叔丁氧基二甲基硅烷、叔戊氧基二甲基硅烷、二甲氧基二甲基硅烷、二乙氧基二甲基硅烷、二异丙氧基二甲基硅烷、二叔丁氧基二甲基硅烷、二甲氧基二乙基硅烷、二乙氧基二乙基硅烷、二异丙氧基二乙基硅烷、二叔丁氧基二乙基硅烷、二甲氧基二异丙基硅烷、二乙氧基二异丙基硅烷、二异丙氧基二异丙基硅烷、二叔丁氧基二异丙基硅烷、原硅酸四乙酯(Si(OCH2CH3)4、TEOS)、及其混合物。然而,本发明构思不限于以上列举的示例。
在另一实施方式中,甲硅烷化合物可以是从以下选择的至少一种:乙烯基三甲基硅烷、苯氧基三甲基硅烷、乙酰氧基三甲基硅烷、苯氧基三乙基硅烷、乙酰氧基三乙基硅烷、苯氧基二甲基硅烷、乙酰氧基二甲基硅烷、甲氧基二甲基苯硅烷、乙氧基二甲基苯硅烷、异丙氧基二甲基苯硅烷、叔丁氧基二甲基苯硅烷、叔戊氧基二甲基苯硅烷、苯氧基二甲基苯硅烷、乙酰氧基二甲基苯硅烷、二乙酰氧基二甲基硅烷、二乙酰氧基二乙基硅烷、二乙酰氧基二异丙基硅烷、二甲氧基甲基乙烯基硅烷、二乙氧基甲基乙烯基硅烷、二异丙氧基甲基乙烯基硅烷、二叔丁氧基甲基乙烯基硅烷、二乙酰氧基甲基乙烯基硅烷、及其混合物。然而,本发明构思不限于以上列举的示例。
乙硅烷化合物可以具有由分子式R5R6R7Si-SiR8R9R10表示的结构。在以上分子式中,R5、R6、R7、R8、R9和R10的每个可以独立地从氢、羟基基团、C1-C5烷基基团、C1-C5烷氧基基团、C2-C5烯基基团、C2-C5炔基基团或C6-C10芳基基团中选择。这里,R5、R6、R7、R8、R9和R10的全部可以不同时为氢,使得R5、R6和R7中的至少一个可以是氢以外的取代基并且R8、R9和R10中的至少一个可以是氢以外的取代基。
例如,乙硅烷化合物可以是从以下选择的至少一种:单甲基乙硅烷、二甲基乙硅烷、1,1,2,2-四甲基乙硅烷、1,1,1,2-四甲基乙硅烷、1,1,2,2-四乙基乙硅烷、1,1,1,2-四乙基乙硅烷、三甲基甲氧基硅烷、二甲基二甲氧基硅烷、甲基三甲氧基硅烷、三甲基乙氧基硅烷、二甲基二乙氧基硅烷、甲基三乙氧基硅烷、戊甲基乙硅烷、六甲基乙硅烷、六甲基二硅氧烷、六乙基乙硅烷、六丙基乙硅烷、六戊基乙硅烷、1,2-二苯基四甲基乙硅烷、1,1,2,2-四苯基乙硅烷、1,2-二甲氧基-1,1,2,2-四甲基乙硅烷、1,2-二乙氧基-1,1,2,2-四甲基乙硅烷、1,2-二甲基-1,1,2,2-四苯基乙硅烷、三(三甲基硅烷基)硅烷、及其混合物。然而,本发明构思不限于以上列举的示例。
硅氮烷化合物(即氨基硅烷化合物)可以是例如从以下选择的至少一种:双(二甲氨基)二甲基硅烷、双(二甲氨基)甲基乙烯基硅烷、双(乙氨基)二甲基硅烷、二乙氨基三甲基硅烷、二甲氨基二甲基硅烷、四甲氨基硅烷、三(二甲氨基)硅烷、双(二甲氨基)硅烷(BDMAS)(SiH2(NMe2)2)、双(二乙氨基)硅烷(BDEAS)(SiH2(NEt2)2)、双(叔丁氨基)硅烷(BTBAS)(SiH2(NH(tBu))2)、二乙氨基三乙基硅烷、二甲氨基三乙基硅烷、乙基甲氨基三乙基硅烷、叔丁氨基三乙基硅烷、异丙氨基三乙基硅烷、二异丙氨基三乙基硅烷、二乙氨基三甲基硅烷、二甲氨基三甲基硅烷、乙基甲氨基三甲基硅烷、叔丁氨基三甲基硅烷、异丙氨基三甲基硅烷、二异丙氨基三甲基硅烷、二乙氨基二甲基硅烷、二甲氨基二甲基硅烷、乙基甲氨基二甲基硅烷、叔丁氨基二甲基硅烷、异丙氨基二甲基硅烷、二异丙氨基二甲基硅烷、二乙氨基二乙基硅烷、二甲氨基二乙基硅烷、乙基甲氨基二乙基硅烷、叔丁氨基二乙基硅烷、异丙氨基二乙基硅烷、二异丙氨基二乙基硅烷、双(二乙氨基)二甲基硅烷、双(二甲氨基)二甲基硅烷、双(乙基甲氨基)二甲基硅烷、双(二异丙氨基)二甲基硅烷、双(异丙氨基)二甲基硅烷、双(叔丁氨基)二甲基硅烷、双(二乙氨基)二乙基硅烷、双(二甲氨基)二乙基硅烷、双(乙基甲氨基)二乙基硅烷、双(二异丙氨基)二乙基硅烷、双(异丙氨基)二乙基硅烷、双(叔丁氨基)二乙基硅烷、双(二乙氨基)甲基乙烯基硅烷、双(二甲氨基)甲基乙烯基硅烷、双(乙基甲氨基)甲基乙烯基硅烷、双(二异丙氨基)甲基乙烯基硅烷、双(异丙氨基)甲基乙烯基硅烷、双(叔丁氨基)甲基乙烯基硅烷、三(二甲氨基)苯基硅烷、三(二甲氨基)甲基硅烷、三(二甲氨基)乙基硅烷、1,2-二乙基-四(二乙氨基)乙硅烷((CH2CH3((CH3CH2)2N)2Si)2)、六(N-吡咯烷基)乙硅烷(((C4H9N)3)Si)2)、1,2-二甲基四(二乙氨基)乙硅烷((CH3(CH3CH2N)2Si)2)、六(乙氨基)乙硅烷(((EtHN)3Si)2)、及其混合物。然而,本发明构思不限于以上列举的示例。
粘合层120可以通过PECVD、HDP CVD、ICP CVD或CCP CVD形成。在一些实施方式中,粘合层120可以通过PECVD形成。
粘合层120可以在例如范围约250℃到约450℃的温度下形成。在一些实施方式中,粘合层120可以在约310℃到约390℃的温度下形成。当粘合层120的形成温度太低时,反应速率低,因而生产率可能不足。当粘合层120的形成温度太高时,用于形成粘合层120的前体材料可能热分解并且可能过度发生副反应。
在形成粘合层120时,硅烷化合物和/或硅氮烷化合物的取代基可以与亲水基团(-A)进行化学反应,从而形成共价键。结果,共价键合层(CBL)可以存在于粘合层120与光敏辅助层110之间的界面处。
当亲水基团(-A)是羟基基团(-OH)并且用于形成粘合层120的源材料是四甲基硅烷时,CBL可以通过下面的反应方案1形成。
<反应方案1>
-OH+Si(CH3)4→-O-Si(CH3)3+CH4
下面的反应方案2至4显示了CBL相对于各种亲水基团(-A)形成的反应。然而,本发明构思不受特定理论限制。
<反应方案2>
NH2+Si(CH3)4→-NH-Si(CH3)3+CH4
-NH-Si(CH3)3+Si(CH3)4→-N-[Si(CH3)3]2+CH4
<反应方案3>
-COOH+Si(CH3)4→-COO-Si(CH3)3+CH4
<反应方案4>
-SH+Si(CH3)4→-S-Si(CH3)3+CH4
参照图2和3D,光致抗蚀剂膜130在粘合层120上形成(操作S130)。光致抗蚀剂膜130可以是光敏树脂层。
在一些实施方式中,正型光致抗蚀剂可以用作光敏树脂。正型光致抗蚀剂可以是用于EUV光(13.5nm)的抗蚀剂。正型光致抗蚀剂可以是例如基于(甲基)丙烯酸酯的聚合物。具体地,基于(甲基)丙烯酸酯的聚合物可以是基于脂肪族(甲基)丙烯酸酯的聚合物,并且可以是例如聚甲基丙烯酸甲酯(PMMA)、聚(甲基丙烯酸叔丁酯)、聚(甲基丙烯酸)、聚(甲基丙烯酸降冰片酯)、基于(甲基)丙烯酸酯的聚合物的重复单元的共聚物或三元共聚物、或其混合物。此外,这些聚合物可以用各种酸不稳定的保护基团取代。保护基团可以是叔丁氧基羰基(t-BOC),四氢吡喃基、三甲基硅烷基、苯氧基乙基、环己烯基、叔丁氧基羰基甲基、叔丁基、金刚烷基、降冰片基等。然而,本发明构思不限于以上列举的示例。
在另外的一些实施方式中,负型光致抗蚀剂可以用作光敏树脂。负型光致抗蚀剂可以是酚醛树脂或甲醛基树脂,例如酚醛清漆树脂,可以是光致抗蚀剂领域中广泛使用的任何负型光致抗蚀剂,并且可以例如通过在酸性催化剂的存在下使苯酚化合物与醛或酮化合物反应而获得。
苯酚化合物可以是苯酚、邻甲酚、间甲酚、对甲酚、2,3-二甲基苯酚、3,4-二甲基苯酚、3,5-二甲基苯酚、2,4-二甲基苯酚、2,6-二甲基苯酚、2,3,6-三甲基苯酚、2-叔丁基苯酚、3-叔丁基苯酚、4-叔丁基苯酚、2-甲基间苯二酚、4-甲基间苯二酚、5-甲基间苯二酚、4-叔丁基邻苯二酚、2-甲氧基苯酚、3-甲氧基苯酚、2-丙基苯酚、3-丙基苯酚、4-丙基苯酚、2-异丙基苯酚、2-甲氧基-5-甲基苯酚、2-叔丁基-5-甲基苯酚、麝香草酚,异麝香草酚等。这些材料可以单独使用,或者可以使用这些材料中的两种或更多种的组合。
醛化合物可以是甲醛、福尔马林、多聚甲醛、三聚甲醛、乙醛、丙醛、苯甲醛、苯乙醛、a-苯丙醛、B-苯丙醛、邻-羟基苯甲醛、间-羟基苯甲醛、对-羟基苯甲醛、邻-氯苯甲醛、间-氯苯甲醛、对-氯苯甲醛、邻-甲基苯甲醛、间-甲基苯甲醛、对-甲基苯甲醛、对-乙基苯甲醛、对-正丁基苯甲醛、对苯二甲酸醛等。这些材料可以单独使用,或者可以使用这些材料中的两种或更多种的组合。
酮化合物可以是丙酮、甲乙酮、二乙基酮或二苯基酮。这些材料可以单独使用,或者可以使用这些材料中的两种或更多种的组合。
当使用聚苯乙烯作为标准通过凝胶渗透色谱法测量时,光敏树脂可以具有约1,000到约500,000的重均分子量。相对于总的光致抗蚀剂材料,光敏树脂的量可以范围从约1wt%到约60wt%。
光致抗蚀剂膜130可以使用诸如旋涂的方法形成。
参照图2和3E,光致抗蚀剂膜130通过使用光掩模PM被暴露于EUV光。EUV光可以是例如具有约13.5nm的波长的光。
穿过光掩模PM的光可以将光致抗蚀剂膜130转换成曝光后的光致抗蚀剂膜130'。曝光后的光致抗蚀剂膜130'可以包括曝光部分130b和未曝光部分130a。在曝光部分130b中,响应于发射的光,可以发生化学反应。化学反应可以是酸碱反应或预定官能团的分离。
照射光的一部分可以通过穿过曝光后的光致抗蚀剂膜130'而透射到光敏辅助层110。由此,光敏辅助层110的光照射部分可以吸收能量。吸收的能量可以激发光照射部分的电子,并且受激发的电子(二次电子)的至少一部分可以被发射到光敏辅助层110的外部,即朝向曝光部分130b。照此,发射到曝光部分130b的二次电子进一步加速曝光部分130b中的化学反应,因而相对于未曝光部分130a能够实现更清晰的图案化。
参照图2和3F,光致抗蚀剂膜130可以通过对待去除的曝光部分130b进行显影而被图案化(操作S140)。
用于显影曝光部分130b的显影剂的示例包括:芳香烃,诸如苯、甲苯和二甲苯;环己烷或环己酮;非环状或环状醚类,诸如二甲醚、乙醚、乙二醇、丙二醇、己二醇、乙二醇单甲醚、乙二醇单乙醚、乙二醇甲乙醚、二乙二醇单甲醚、二乙二醇单乙醚、二乙烯二醇二甲醚、丙二醇甲醚、丙二醇乙醚、丙二醇丙醚、丙二醇丁醚、四氢呋喃和二恶烷;乙酸酯,诸如乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸丁酯、羟基乙酸甲酯、羟基乙酸乙酯、羟基乙酸丙酯、羟基乙酸丁酯、甲氧基乙酸甲酯、甲氧基乙酸乙酯、甲氧基乙酸丙酯、甲氧基乙酸丁酯、乙氧基乙酸甲酯、乙氧基乙酸乙酯、乙氧基乙酸丙酯、乙氧基乙酸丁酯、丙氧基乙酸甲酯、丙氧基乙酸乙酯、丙氧基乙酸丙酯、丙氧基乙酸丁酯、丁氧基乙酸甲酯、丁氧基乙酸乙酯、丁氧基乙酸丙酯、丁氧基乙酸丁酯、丙二醇甲醚乙酸酯、丙二醇乙醚乙酸酯、丙二醇丙醚乙酸酯、丙二醇丁醚乙酸酯、甲基溶纤剂乙酸酯(methyl cellosolve acetate)和乙基溶纤剂乙酸酯(ethylcellosolve acetate);丙酸酯,诸如3-羟基丙酸甲酯、3-羟基丙酸乙酯、3-羟基丙酸丙酯、3-羟基丙酸丁酯、2-甲氧基丙酸甲酯、2-甲氧基丙酸乙酯、2-甲氧基丙酸丙酯、2-甲氧基丙酸丁酯、2-乙氧基丙酸甲酯、2-乙氧基丙酸乙酯、2-乙氧基丙酸丙酯、2-乙氧基丙酸丁酯、2-丁氧基丙酸甲酯、2-丁氧基丙酸乙酯、2-丁氧基丙酸丙酯、2-丁氧基丙酸丁酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-甲氧基丙酸丙酯、3-甲氧基丙酸丁酯、3-乙氧基丙酸甲酯、3-乙氧基丙酸乙酯、3-乙氧基丙酸丙酯、3-乙氧基丙酸丁酯、3-丙氧基丙酸甲酯、3-丙氧基丙酸乙酯、3-丙氧基丙酸丙酯、3-丙氧基丙酸丁酯、3-丁氧基丙酸甲酯、3-丁氧基丙酸乙酯、3-丁氧基丙酸丙酯、3-丁氧基丙酸丁酯、丙二醇甲醚丙酸酯、丙二醇乙醚丙酸酯、丙二醇丙醚丙酸酯和丙二醇丁醚丙酸酯;丁酸酯,诸如氧基异丁酸酯(oxyisobutyric acid ester)(例如2-羟基异丁酸甲酯)、α-甲氧基异丁酸甲酯、甲氧基异丁酸乙酯、α-乙氧基异丁酸甲酯、α-乙氧基异丁酸乙酯、β-甲氧基异丁酸甲酯、β-甲氧基异丁酸乙酯、β-乙氧基异丁酸甲酯、β-乙氧基异丁酸乙酯、β-异丙氧基异丁酸甲酯、β-异丙氧基异丁酸乙酯、β-异丙氧基异丁酸异丙酯、β-异丙氧基异丁酸丁酯、β-丁氧基异丁酸甲酯、β-丁氧基异丁酸乙酯、β-丁氧基异丁酸丁酯、α-羟基异丁酸甲酯、α-羟基异丁酸乙酯、α-羟基异丁酸异丙酯和α-羟基异丁酸丁酯;乳酸盐(lactate),诸如乳酸甲酯、乳酸乙酯、乳酸丙酯和乳酸丁酯;及其组合。然而,本发明构思不限于以上列举的示例。
参照图2和3G,粘合层120和光敏辅助层110可以使用由未曝光部分130a构成的光致抗蚀剂图案作为蚀刻掩模被图案化。
粘合层120和光敏辅助层110的图案化可以通过各向异性蚀刻执行,并且各向异性蚀刻可以例如通过使用包括含CxFyHz气体的蚀刻气体的等离子体蚀刻来执行,其中x和y各自独立地为1到10的整数,并且z是0到10的整数。含CxFyHz气体可以是包含碳(C)和氟(F)的气体、或包含C、F和氢(H)的气体。例如,含CxFyHz气体可以是CF4、C3F6、C4F6、C4F8、C5F8、CH3F、CHF3、CH2F2或其组合。在一些实施方式中,蚀刻气体还可以包括诸如氩(Ar)的惰性气体。
更具体地,粘合层120和光敏辅助层110的暴露部分可以通过在经由使用Ar气体以约2:1的体积比向蚀刻腔室供应CH3F和O2的混合气体的同时经由施加等离子体的各向异性蚀刻而被去除。
为了进行各向异性蚀刻,可以使用反应离子蚀刻(RIE)设备、磁增强反应离子蚀刻(MERIE)设备、电感耦合等离子体(ICP)设备、变压器耦合等离子体(TCP)设备、空心阳极型等离子体设备、螺旋谐振器等离子体设备、电子回旋共振(ECR)设备等。
光敏辅助层图案110m通过各向异性蚀刻形成。在一些实施方式中,粘合层图案可以部分地留在光敏辅助层图案110m上。在另外的一些实施方式中,粘合层120可以通过各向异性蚀刻被基本上完全去除。
参照图2和3H,图案化的蚀刻目标膜105p可以通过使用光敏辅助层图案110m作为蚀刻掩模对蚀刻目标膜105进行蚀刻而获得(操作S150)。用作蚀刻掩模的光敏辅助层图案110m可以被去除。
当使用根据本发明构思的实施方式的形成微图案的方法时,可以容易地形成超微图案而没有图案倒塌。此外,因为除直接发射的光之外还使用二次电子,所以可以使用更少量的光并且可以获得清晰的图案。
图4是示出可使用根据本发明构思的形成微图案的方法实现的示例半导体器件的存储系统50的示意性框图。
参照图4,半导体器件的存储系统50可以包括主机10、存储控制器20和闪速存储器30。
存储控制器20用作主机10与闪速存储器30之间的接口,并且可以包括缓冲存储器22。虽然未在图中示出,但是存储控制器20还可以包括中央处理单元(CPU)、只读存储器(ROM)、随机存取存储器(RAM)和接口块。
闪速存储器30还可以包括单元阵列32、解码器34、页缓冲器36、位线选择电路38、数据缓冲器42和控制单元44。
数据和写入命令从主机10输入到存储控制器20,并且存储控制器20根据输入命令而控制闪速存储器30将数据写入到单元阵列32。此外,存储控制器20根据从主机10输入的读取命令而控制闪速存储器30读取存储在单元阵列32中的数据。缓冲存储器22临时存储在主机10与闪速存储器30之间传输的数据。
闪速存储器30的单元阵列32包括多个存储单元。解码器34经由字线WL0、WL1、……、WLn连接到单元阵列32。解码器34从存储控制器20接收地址以选择字线WL0、WL1、……、WLn中的一个或者产生选择信号Yi从而选择多个位线BL0、BL1、……、BLm中的一个。页缓冲器36经由位线BL0、BL1、……、BLm连接到单元阵列32。
图5是用于说明单元阵列32的示例结构的电路图。参照图5,单元阵列32可以包括多个存储单元块32A,每个存储单元块32A包括多个存储单元,并且每个存储单元块32A包括多个单元串90,每个单元串90布置在位线BL0、BL1、……、BLm与公共源极线CSL之间。每个单元串90包括串联连接的多个存储单元92。每个单元串90中包括的多个存储单元92的栅电极分别连接到不同的字线WL0、WL1、……、WLn。连接到地选择线GSL的地选择晶体管94和连接到串选择线SSL的串选择晶体管96分别布置在单元串90的相反端。地选择晶体管94和串选择晶体管96控制多个存储单元92与位线BL0、BL1、……、BLm和公共源极线CSL之间的电连接。连接到跨越单元串90的字线WL0、WL1、……、WLn中的一个的存储单元形成页单元或字节单元。
在普通NAND闪速存储器件中,在字线WL0、WL1、……、WLn处,接触焊盘以一体形式彼此连接以将字线WL0、WL1、……、WLn连接到解码器34。连接到相应字线的接触焊盘需要与字线WL0、WL1、……、WLn同时形成。此外,在NAND闪速存储器件的情况下,当形成具有相对更窄的宽度的字线WL0、WL1、……、WLn时,具有相对更宽的宽度的低密度图案(诸如地选择线GSL、串选择线SSL和用于外围电路的晶体管)需要同时形成。
图6是示出可使用根据本发明构思的形成半导体器件的微图案的方法实现的半导体器件的结构的局部俯视图。图6示出NAND闪速存储器件的存储单元区域300A的一部分以及连接区域300B的一部分的布局,连接区域300B被配置为将构成存储单元区域300A的单元阵列的多个导电线(诸如字线或位线)连接到诸如解码器的外部电路(未示出)。
参照图6,多个存储单元块340形成在存储单元区域300A中。在图6中,仅示出了一个存储单元块340。在存储单元块340中,在串选择线SSL与地选择线GSL之间构成一个单元串90(见图5)所需的多个导电线301、302、……、332在第一方向(图6中的x方向)上彼此平行延伸。多个导电线301、302、……、332在存储单元区域300A和连接区域300B上延伸。
为了将多个导电线301、302、……、332连接到诸如解码器的外部电路,多个接触焊盘352以与多个导电线301、302、……、332成一体的形式分别形成在多个导电线301、302、……、332的端部处。
在图6中,多个导电线301、302、……、332、串选择线SSL、地选择线GSL和接触焊盘352可以由相同的材料形成。多个导电线301、302、……、332的每个可以是构成存储单元区域300A中的多个存储单元的字线。串选择线SSL和地选择线GSL可以具有分别比多个导电线301、302、……、332的宽度W1大的宽度W2和W3。
在另一实施方式中,多个导电线301、302、……、332可以是构成存储单元区域300A中的存储单元的位线。在这种情况下,可以省略串选择线SSL和地选择线GSL。
虽然图6示出了32个导电线即多个导电线301、302、……、332被包括在一个存储单元块340中,但是在本发明构思的范围内一个存储单元块340可以包括各种数量的导电线。
在下文中,将详细描述根据本发明构思的一实施方式的形成半导体器件的微图案的方法。
图7A至7F是顺序地示出根据本发明构思的一实施方式的形成半导体器件的微图案的方法的剖视图。剖视图可以对应于沿图6的线A-A'截取的视图。
参照图7A,蚀刻目标膜205、光敏辅助层210、粘合层220和光致抗蚀剂膜230在基板201上顺序地堆叠,并且用于形成图案的曝光使用曝光掩模240执行。用于曝光而发射的光可以是EUV光,例如具有约13.5nm的波长的光。
基板201、蚀刻目标膜205、光敏辅助层210、粘合层220和光致抗蚀剂膜230与已经参照图1A至3H详细描述的基板101、蚀刻目标膜105、光敏辅助层110、粘合层120和光致抗蚀剂膜130基本相同,因而这里将省略其详细描述。
在一些实施方式中,光致抗蚀剂膜230可以通过诸如旋涂的湿法形成。在一些实施方式中,光敏辅助层210和粘合层220可以通过诸如化学气相沉积的气相沉积形成。
粘合层220可以具有约到约的厚度。具体地,光致抗蚀剂膜230与光敏辅助层210之间的距离D1可以小于当光致抗蚀剂膜230与光敏辅助层210之间的距离D1由于粘合层220的过大的厚度等而太大时,从光敏辅助层210产生的二次电子可能不会转移到光致抗蚀剂膜230。
这里,已经描述了光致抗蚀剂膜230是负性光致抗蚀剂的情况,但是本领域普通技术人员应理解,本发明构思也可应用于光致抗蚀剂膜230是正性光致抗蚀剂的情况。
在一些实施方式中,光致抗蚀剂膜230可以具有约20nm到约50nm的厚度。在一些实施方式中,光致抗蚀剂膜230的厚度可以范围从约22nm到约44nm。在一些实施方式中,光致抗蚀剂膜230的厚度可以范围从约25nm到约39nm。当光致抗蚀剂膜230的厚度太大时,发射的EUV光可能不会透射到光致抗蚀剂膜230的下部。当光致抗蚀剂膜230的厚度太小时,光致抗蚀剂膜230可能不会起到蚀刻掩模的作用。
在一些实施方式中,用作抗反射膜的材料膜可以不存在于粘合层220与光致抗蚀剂膜230之间。在一些实施方式中,用作抗反射膜的材料膜可以不存在于粘合层220与光敏辅助层210之间。
曝光掩模240可以包括例如光屏蔽膜244,其被适当地设计为在石英基板242上具有线和间隔(line-and-space)图像。光屏蔽膜244可以由例如铬形成。
参照图7B,光致抗蚀剂膜230可以进行显影以获得光致抗蚀剂图案230p。如上所述,因为图7A的光致抗蚀剂膜230是负性光致抗蚀剂,所以未曝光部分可以通过显影被去除并且曝光部分可以保留。
参照图7C,间隔物250可以布置在光致抗蚀剂图案230p的相反侧上。
间隔物250可以是相对于光致抗蚀剂图案230p具有足够的蚀刻选择性的材料,例如旋涂氧化物(SOX)材料。
SOX材料层可以被共形地布置、固化、然后回蚀刻以暴露光致抗蚀剂图案230p的上表面,从而获得间隔物250。
参照图7D,光致抗蚀剂图案230p可以被去除。光致抗蚀剂图案230p可以使用显影剂被去除。碱性水溶液可以用作显影剂,例如四甲基氢氧化铵(TMAH)水溶液。TMAH水溶液可以具有例如约2wt%到约5wt%的浓度。
参照图7E,粘合层220和光敏辅助层210可以使用间隔物250作为蚀刻掩模被蚀刻。由此,可以获得间隔物250的图案转印到其的粘合层图案220p和光敏辅助层图案210p。
参照图7F,蚀刻目标膜205可以使用光敏辅助层图案210p作为蚀刻掩模被蚀刻。由此,可以获得光敏辅助层图案210p转印到其的蚀刻图案205p。与图7E中的高度相比,光敏辅助层图案210pa可以通过蚀刻而具有略微降低的高度。
当将图7B与图7F比较时,可以看出线和间隔图案的图案密度加倍。为了通过使用如上所述的形成微图案的方法而形成如图4所示的导电线301、302、……、332,硬掩模材料膜可以在导电材料上形成,然后可以执行上述方法以形成硬掩模,然后导电材料可以使用该硬掩模被蚀刻,从而形成导电线301、302、……、332。
图8A和8B是示出根据本发明构思的实施方式的基板处理设备4和4a的俯视图。
参照图8A,基板处理设备4可以包括转位(index)模块IM和基板处理模块PM。
转位模块IM可以包括装载口410和传送框架420。在其中容纳基板的运载部411可以座置在装载口410中。前开口统一盒(FOUP)可以用作运载部411。装载口410可以提供成多个。装载口410的数量可以根据基板处理模块PM的处理效率、占地面积条件(footprintcondition)等而增加或减少。运载部411可以提供有多个缝隙,以在平行于地面定位的状态下容纳基板。
基板处理模块PM可以包括装载锁定腔室430、第一处理腔室440和第二处理腔室450。装载锁定腔室430、第一处理腔室440和第二处理腔室450的类型和位置可以根据需要而变化。
第一处理腔室440和第二处理腔室450可以是执行彼此不同的工艺的腔室。例如,第一处理腔室440可以执行在转移的基板上形成第一材料膜的工艺,第二处理腔室450可以执行在转移的基板上形成第二材料膜的工艺。
在一些实施方式中,第一材料膜可以在第一处理腔室440中形成在基板上,然后第二材料膜可以在第二处理腔室450中形成在基板上。例如,第一材料膜可以是参照图1A至3H描述的光敏辅助层110,第二材料膜可以是粘合层120。
在一些实施方式中,第一处理和第二处理的每个可以是使用等离子体处理的化学气相沉积。
多个基板可以在第一处理腔室440中被同时处理。此外,多个基板可以在其位置依次改变的同时在第一处理腔室440中被同时处理。例如,四片基板可以在第一处理腔室440中在四个工艺台上被同时处理。
用于形成第一材料膜的第一源材料的供应线F1可以连接到第一处理腔室440。用于形成第二材料膜的第二源材料的供应线F2可以连接到第二处理腔室450。第一源材料和第二源材料可以彼此不同。
参照图8B,在基板处理设备4a中,缓冲单元460可以连接到装载锁定腔室430,并且第一处理腔室440和第二处理腔室450的每个可以连接到缓冲单元460。
缓冲单元460提供允许基板在基板于第一处理腔室440和第二处理腔室450与运载部411之间传送之前停留的空间。传送框架420可以构造为在座置于装载口410中的运载部411与装载锁定腔室430之间传送基板。
图8A和8B的基板处理设备4和4a的每个分别包括构造为通过PECVD形成第一材料膜的腔室(第一处理腔室440)和构造为通过PECVD形成第二材料膜的腔室(第二处理腔室450)两者,并且可以构造为使得第一材料膜和第二材料膜在基板于其间依次传送的同时被顺序地堆叠。
第一材料膜可以包括非晶硅(a-Si)、锡氧化物(SnOx)、钛氧化物(TiOx)或硅氮氧化物(SiON)。
第二材料膜可以包括其中硅烷化合物和/或硅氮烷化合物相互键合的材料层。
图9是示出根据本发明构思的另一实施方式的基板处理设备4b的俯视图。
参照图9,基板处理设备4b可以包括转位模块IM和基板处理模块PM。转位模块IM与以上参照图8A和8B所述相同,因而这里将省略其详细描述。
基板处理模块PM可以包括缓冲单元460、以及连接到缓冲单元460的第二处理腔室450和第三处理腔室470。
缓冲单元460和第二处理腔室450与以上参照图8A和8B所述相同,因而这里将省略其详细描述。
在基板的表面上形成第二材料膜之后,引入到第二处理腔室450的基板可以被传送到第三处理腔室470。第三材料膜可以在第三处理腔室470中形成在基板的第二材料膜上。第三材料膜可以使用诸如旋涂的湿法形成。
在一些实施方式中,第二处理腔室450可以是材料膜的沉积设备,第三处理腔室470可以是旋涂设备。在一些实施方式中,第二材料膜可以是以上参照图1A至3H描述的粘合层120。在一些实施方式中,第三材料膜可以是以上参照图1A至3H描述的光致抗蚀剂膜130。
在下文中,将更详细地描述可应用于图8A、8B和9的等离子体沉积设备。图10是示出作为等离子体沉积设备的示例的氢等离子体化学气相沉积设备500的侧剖视图。
参照图10,氢等离子体化学气相沉积设备500包括下腔室510。下气体环512、上气体环514和圆顶板518可以在下腔室510上顺序地联接。此外,圆顶541可以在下腔室510中被提供为反应空间582的顶板。下腔室510、下气体环512、上气体环514、圆顶板518和圆顶541可以构成限定反应空间582的腔室外壳580。
基座520可以提供在下腔室510的底表面上,作为安置基板W的安置单元。基座520可以具有圆柱形状。基座520可以由诸如石英或铝氮化物(AlN)的无机材料或诸如铝的金属制成。
静电卡盘521可以提供在基座520的上表面上。静电卡盘521可以构造为使得电极522插入到绝缘材料中。电极522可以连接到安装在下腔室510外部的直流电源523。库仑力可以通过直流电源523在基座520的表面上产生,从而将基板W静电地吸引到基座520上。
加热器/冷却器526可以提供在基座520中。加热器/冷却器526可以连接到配置为控制其加热/冷却强度的温度控制器527。也就是,基座520的温度可以由温度控制器527控制,因而位于基座520上的基板W可以保持在期望的温度。
基座引导件528提供在基座520周围以引导基座520。基座引导件528可以由例如诸如陶瓷、石英等的绝缘材料制成。
垂直移动销可以嵌入基座520以向下支撑基板W并垂直地移动基板W。垂直移动销可以插入到形成在基座520中的通孔内并穿过该通孔以从基座520的上表面突出。此外,垂直移动销的数量可以为至少三个,以支撑基板W。
排气空间530可以形成在基座520周围以环形地围绕基座520。其中形成有多个排气孔的环形挡板531可以提供在排气空间530的上部上,以均匀地排出在氢等离子体化学气相沉积设备500内部的气相材料。挡板531可以包括第一层531a和第二层531b。
排气管532连接到作为氢等离子体化学气相沉积设备500的底表面的排气空间530的底部。排气管532的数量可以任意确定,并且可以在周界方向上提供成多个。排气管532可以连接到例如包括真空泵的排气设备533。排气设备533可以配置为将氢等离子体化学气相沉积设备500中的气氛的压力降低至预定的真空度。
射频(RF)天线装置540可以提供在氢等离子体化学气相沉积设备500的圆顶541上,以供应用于产生等离子体的微波。RF天线装置540可以包括缝隙板542、慢波板543和屏蔽盖544。
圆顶541可以由例如石英、Al2O3、AlN等的电介质材料制成,以允许微波令人满意地透过圆顶541。圆顶541可以通过使用诸如O形环等的密封构件而紧密地接触圆顶板518。
缝隙板542可以位于圆顶541上并布置为面对基座520。缝隙板542可以提供有多个缝隙并用作天线。缝隙板542可以由例如铜、铝、镍等的导电材料制成。
慢波板543提供在缝隙板542上,并且可以配置为缩短微波的波长。慢波板543可以由例如石英、Al2O3、AlN等的低损耗电介质材料制成。
屏蔽盖544可以提供在慢波板543上以覆盖缝隙板542和慢波板543。多个环形流动路径545可以安装在屏蔽盖544内以使例如冷却介质流动。圆顶541、缝隙板542、慢波板543和屏蔽盖544可以通过流过流动路径545的冷却介质被调节到预定温度。
同轴波导550可以连接到屏蔽盖544的中心部分。同轴波导550可以包括内部导体551和外部管552。内部导体551可以连接到缝隙板542。内部导体551的缝隙板542侧可以成圆锥形地形成,并且可以配置为相对于缝隙板542有效率地发送微波。
将微波转换成预定振动模式的模式转换器553、矩形波导554、以及产生微波的微波发生器555可以顺序地连接到同轴波导550。微波发生器555可以产生具有例如2.45GHz的预定频率的微波。约2,000W或更大的功率可以施加到微波发生器555。约3,000W到约3,500W的功率也可以施加到微波发生器555。
在氢等离子体化学气相沉积设备500中,等离子体产生方法可以是电容型或电感型。而且,氢等离子体化学气相沉积设备500可以连接到诸如等离子体管的远程等离子体发生器。
通过这样的构造,由微波发生器555产生的微波顺序地传输到矩形波导554、模式转换器553和同轴波导550,被供应到RF天线装置540中,由慢波板543压缩以具有短波长,通过缝隙板542转换成圆偏振波,然后从缝隙板穿过微波传输板541以发射到反应空间582中。在反应空间582中,工艺气体(例如源材料)通过微波被转换成等离子体,并且基板W通过等离子体进行等离子体处理。
在这种情况下,RF天线装置540、同轴波导550、模式转换器553、矩形波导554和微波发生器555可以构成等离子体发生器。
第一工艺气体供应管560作为第一工艺气体供应单元安装在RF天线装置540的中心部分处。第一工艺气体供应管560穿过RF天线装置540,并且第一工艺气体供应管560的一端部穿过圆顶541的下表面以打开。此外,第一工艺气体供应管560穿过同轴波导550的内部导体551的内部,并进一步插入到模式转换器553中并从中穿过,使得第一工艺气体供应管560的另一端部可以连接到第一工艺气体供应源561。诸如四甲基硅烷的源材料可以作为工艺气体储存在第一工艺气体供应源561内。然而,根据需要还可以单独储存氢气、N2气体和/或Ar气体。此外,包括构造为控制第一工艺气体的流动的阀、流量调节单元等的供应装置组562安装在第一工艺气体供应管560处。
如图10所示,作为第二工艺气体供应单元的第二工艺气体供应管570安装在腔室外壳580的侧表面处。多个第二工艺气体供应管570,例如24个第二工艺气体供应管570,可以以恒定的间隔安装在腔室外壳580的侧表面的周界上。第二工艺气体供应管570的一端部在腔室外壳580的侧表面处具有开口,并且其另一端部可以连接到缓冲单元571。
缓冲单元571可以环形地安装在腔室外壳580的侧表面内,并且可以作为单个单元安装在多个第二工艺气体供应管570处。第二工艺气体供应源573经由供应管572连接到缓冲单元571。例如N2气体、H2气体、Ar气体等的工艺气体可以单独储存在第二工艺气体供应源573中。此外,包括构造为控制第二工艺气体的流动的阀、流量调节单元等的供应装置组574可以安装在供应管572处。此外,如图10所示,从第二工艺气体供应源573供应的第二工艺气体可以经由供应管572被引入到缓冲单元571中,并且可以在使缓冲单元571中沿周界方向的压力保持均匀之后经由第二工艺气体供应管570供应到腔室外壳580中。
虽然已经参照本发明构思的实施方式具体显示和描述了本发明构思,但是将理解,可以进行形式和细节上的各种改变而不背离所附权利要求的精神和范围。
本申请要求享有2017年12月27日向韩国知识产权局提交的韩国专利申请第10-2017-0181514号的权益,其公开通过引用全文合并于此。

Claims (25)

1.一种形成微图案的方法,所述方法包括:
在基板上形成蚀刻目标膜;
在所述蚀刻目标膜上形成光敏辅助层,所述光敏辅助层用亲水基团封端;
在所述光敏辅助层上形成粘合层,所述粘合层与所述亲水基团形成共价键;
在所述粘合层上形成疏水的光致抗蚀剂膜;以及
图案化所述光致抗蚀剂膜。
2.根据权利要求1所述的方法,其中所述粘合层的形成通过化学气相沉积(CVD)来执行。
3.根据权利要求2所述的方法,其中所述化学气相沉积是等离子体增强化学气相沉积(PECVD)。
4.根据权利要求3所述的方法,其中所述粘合层的形成包括供应具有由分子式SiR1R2R3R4表示的结构的甲硅烷化合物和/或具有由分子式R5R6R7Si-SiR8R9R10表示的结构的乙硅烷化合物,
其中R1、R2、R3和R4的每个独立地为氢、C1-C5烷基基团、C1-C5烷氧基基团、C2-C5烯基基团、C2-C5炔基基团或C6-C10芳基基团,并且R1、R2、R3和R4的全部不同时为氢,使得其中的至少两个是另外的取代基,以及
R5、R6、R7、R8、R9和R10的每个独立地为氢、羟基基团、C1-C5烷基基团、C1-C5烷氧基基团、C2-C5烯基基团、C2-C5炔基基团或C6-C10芳基基团,并且R5、R6、R7、R8、R9和R10的全部不同时为氢,使得R5、R6和R7中的至少一个是另外的取代基之一并且R8、R9和R10中的至少一个是另外的取代基之一。
5.根据权利要求4所述的方法,其中所述甲硅烷化合物包括四甲基硅烷、三甲基硅烷和六甲基二硅烷中的至少一种。
6.根据权利要求5所述的方法,其中所述光致抗蚀剂膜的图案化包括:
通过使用光掩模将所述光致抗蚀剂膜曝光;以及
显影曝光后的光致抗蚀剂膜,
其中曝光所述光致抗蚀剂膜使用极紫外(EUV)光进行。
7.根据权利要求3所述的方法,其中所述粘合层的形成包括供应氨基硅烷化合物。
8.根据权利要求1所述的方法,其中所述粘合层的形成在范围从约250℃到约450℃的温度下进行。
9.根据权利要求1所述的方法,其中所述亲水基团包括羟基基团(-OH)、羧基基团(-COOH)、氨基基团(-NH2)、羰基基团(-CO-)和巯基基团(-SH)中的至少一种。
10.根据权利要求9所述的方法,其中所述亲水基团是羟基基团,并且通过所述粘合层的形成,所述羟基基团的氢原子被用于形成所述粘合层的源材料取代。
11.根据权利要求1所述的方法,其中所述光致抗蚀剂膜与所述光敏辅助层之间的距离小于
12.根据权利要求11所述的方法,其中所述粘合层具有约到约的厚度。
13.根据权利要求1所述的方法,其中所述粘合层的上表面具有疏水性。
14.根据权利要求1所述的方法,其中所述疏水的光致抗蚀剂膜具有约20nm到约50nm的厚度。
15.根据权利要求1所述的方法,其中所述光敏辅助层是能够响应于EUV光的照射而发射二次电子的材料层。
16.一种形成微图案的方法,所述方法包括:
在基板上形成蚀刻目标膜;
在所述蚀刻目标膜上形成光敏辅助层;
在所述光敏辅助层上形成粘合层;
在所述粘合层上形成疏水的光致抗蚀剂膜;以及
图案化所述光致抗蚀剂膜。
17.根据权利要求16所述的方法,其中所述光敏辅助层是能够响应于具有13.5nm的波长的光而发射二次电子的材料层。
18.根据权利要求17所述的方法,其中所述光敏辅助层包括从非晶硅(a-Si)、锡氧化物(SnOx)、钛氧化物(TiOx)和硅氮氧化物(SiON)中选择的一种。
19.根据权利要求18所述的方法,其中,紧接在所述粘合层的形成之前,所述光敏辅助层的上表面用亲水基团封端。
20.根据权利要求19所述的方法,其中所述亲水基团包括羟基基团(-OH)、羧基基团(-COOH)、氨基基团(-NH2)、羰基基团(-CO-)和巯基基团(-SH)中的至少一种。
21.根据权利要求19所述的方法,其中所述粘合层与所述光敏辅助层的所述亲水基团形成共价键。
22.一种基板处理设备,包括:
第一处理腔室,构造为对基板执行第一处理;
第二处理腔室,构造为对第一处理后的基板执行第二处理;以及
传送腔室,构造为将所述第一处理腔室中的所述基板传送到所述第二处理腔室。
23.根据权利要求22所述的基板处理设备,其中所述第一处理是形成光敏辅助层的工艺,所述第二处理是在所述光敏辅助层上形成粘合层的工艺。
24.根据权利要求22所述的基板处理设备,其中所述第一处理是形成粘合层的工艺,所述第二处理是在所述粘合层上形成光致抗蚀剂膜的工艺。
25.根据权利要求24所述的基板处理设备,其中所述第一处理是化学气相沉积(CVD),所述第二处理是旋涂。
CN201811612414.4A 2017-12-27 2018-12-27 形成微图案的方法及基板处理设备 Active CN109976097B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170181514A KR102540963B1 (ko) 2017-12-27 2017-12-27 미세 패턴 형성 방법 및 기판 처리 장치
KR10-2017-0181514 2017-12-27

Publications (2)

Publication Number Publication Date
CN109976097A true CN109976097A (zh) 2019-07-05
CN109976097B CN109976097B (zh) 2024-06-18

Family

ID=66951490

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811612414.4A Active CN109976097B (zh) 2017-12-27 2018-12-27 形成微图案的方法及基板处理设备

Country Status (3)

Country Link
US (1) US10916437B2 (zh)
KR (1) KR102540963B1 (zh)
CN (1) CN109976097B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113156770A (zh) * 2020-03-30 2021-07-23 台湾积体电路制造股份有限公司 光致抗蚀剂层表面处理、盖层和形成光致抗蚀剂图案的方法
TWI795094B (zh) * 2020-01-15 2023-03-01 美商蘭姆研究公司 處理設備、圖案化結構及其製造方法
WO2023115572A1 (zh) * 2021-12-24 2023-06-29 华为技术有限公司 部件的制备方法、等离子体处理装置
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102515391B1 (ko) * 2018-08-29 2023-03-31 주식회사 원익아이피에스 반도체 소자의 제조방법
US11915931B2 (en) * 2021-08-19 2024-02-27 Tokyo Electron Limited Extreme ultraviolet lithography patterning method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070023103A (ko) * 2005-08-23 2007-02-28 삼성전자주식회사 포토레지스트 조성물 및 이를 이용한 박막 트랜지스터기판의 제조방법
US20070046927A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US20070048451A1 (en) * 2005-08-26 2007-03-01 Applied Materials, Inc. Substrate movement and process chamber scheduling
US20140272709A1 (en) * 2013-03-14 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US20160233083A1 (en) * 2015-02-09 2016-08-11 Samsung Electronics Co., Ltd. Method of forming micropatterns
WO2016188550A1 (en) * 2015-05-22 2016-12-01 Applied Materials, Inc. Lock chamber, inline substrate processing system and method of operating an inline substrate processing system
KR20180024703A (ko) * 2016-08-31 2018-03-08 세메스 주식회사 기판 처리 장치 및 방법

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1041222A (ja) * 1996-07-23 1998-02-13 Japan Energy Corp 半導体装置の製造方法
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP4758938B2 (ja) 2001-08-30 2011-08-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
KR101162377B1 (ko) 2003-03-28 2012-07-09 도요 세이칸 가부시키가이샤 플라즈마 cvd법에 의한 화학 증착막 및 그 형성 방법
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
JP2011151057A (ja) 2010-01-19 2011-08-04 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US8354339B2 (en) 2010-07-20 2013-01-15 International Business Machines Corporation Methods to form self-aligned permanent on-chip interconnect structures
JP6592243B2 (ja) * 2011-11-21 2019-10-16 ブルーワー サイエンス アイ エヌ シー. Euvリソグラフィのためのアシスト層
JP2013161913A (ja) * 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
WO2015012172A1 (ja) 2013-07-23 2015-01-29 日産化学工業株式会社 レジスト下層膜形成組成物用添加剤及びそれを含むレジスト下層膜形成組成物
US9140987B2 (en) 2014-02-21 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070023103A (ko) * 2005-08-23 2007-02-28 삼성전자주식회사 포토레지스트 조성물 및 이를 이용한 박막 트랜지스터기판의 제조방법
US20070048451A1 (en) * 2005-08-26 2007-03-01 Applied Materials, Inc. Substrate movement and process chamber scheduling
US20070046927A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US20140272709A1 (en) * 2013-03-14 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US20160233083A1 (en) * 2015-02-09 2016-08-11 Samsung Electronics Co., Ltd. Method of forming micropatterns
WO2016188550A1 (en) * 2015-05-22 2016-12-01 Applied Materials, Inc. Lock chamber, inline substrate processing system and method of operating an inline substrate processing system
KR20180024703A (ko) * 2016-08-31 2018-03-08 세메스 주식회사 기판 처리 장치 및 방법

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
TWI795094B (zh) * 2020-01-15 2023-03-01 美商蘭姆研究公司 處理設備、圖案化結構及其製造方法
TWI828961B (zh) * 2020-01-15 2024-01-11 美商蘭姆研究公司 圖案化結構及其製造方法、沉積底層之方法及處理基板之設備
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
CN113156770A (zh) * 2020-03-30 2021-07-23 台湾积体电路制造股份有限公司 光致抗蚀剂层表面处理、盖层和形成光致抗蚀剂图案的方法
WO2023115572A1 (zh) * 2021-12-24 2023-06-29 华为技术有限公司 部件的制备方法、等离子体处理装置

Also Published As

Publication number Publication date
US10916437B2 (en) 2021-02-09
KR102540963B1 (ko) 2023-06-07
CN109976097B (zh) 2024-06-18
KR20190079366A (ko) 2019-07-05
US20190198342A1 (en) 2019-06-27

Similar Documents

Publication Publication Date Title
CN109976097A (zh) 形成微图案的方法及基板处理设备
US9829805B2 (en) Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US8465903B2 (en) Radiation patternable CVD film
US7375038B2 (en) Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
TWI478232B (zh) Substrate handling method
US7846843B2 (en) Method for manufacturing a semiconductor device using a spacer as an etch mask for forming a fine pattern
CN102112651B (zh) 无定形碳氮膜的形成方法、无定形碳氮膜、多层抗蚀剂膜、半导体装置的制造方法
JP2008291344A (ja) アモルファス炭素膜の成膜方法及びこれを用いた半導体素子の製造方法
JP6033785B2 (ja) エッチング方法及び装置
TWI707382B (zh) 被處理體之處理方法
US6858542B2 (en) Semiconductor fabrication method for making small features
KR102385745B1 (ko) 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TWI286840B (en) Method and system for etching a gate stack
US8084360B2 (en) Method of manufacturing semiconductor device
EP1609175A1 (en) Method and apparatus for multilayer photoresist dry development
US20070290292A1 (en) Use of teos oxides in integrated circuit fabrication processes
GB2108756A (en) Forming a pattern of a thin film having metallic lustre
US20210116799A1 (en) Multilayer Reflector And Methods Of Manufacture And Patterning
KR102067082B1 (ko) 패턴 형성 방법 및 반도체 소자
JP2022529255A (ja) トレンチに薄膜を堆積する方法
JP5063535B2 (ja) プラズマ処理方法
JP2024031537A (ja) パターン基板の製造方法、パターン基板、およびパターン基板中間体
JPH11162944A (ja) 半導体装置の製造方法
KR20150116122A (ko) 레지스트 하층막용 조성물, 이를 포함하는 박막 구조물 및 반도체 집적회로 디바이스

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant