CN109786443A - 半导体装置内的源极/漏极结构的制造方法 - Google Patents

半导体装置内的源极/漏极结构的制造方法 Download PDF

Info

Publication number
CN109786443A
CN109786443A CN201810895615.3A CN201810895615A CN109786443A CN 109786443 A CN109786443 A CN 109786443A CN 201810895615 A CN201810895615 A CN 201810895615A CN 109786443 A CN109786443 A CN 109786443A
Authority
CN
China
Prior art keywords
layer
interface
epitaxial layer
semiconductor layer
germanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810895615.3A
Other languages
English (en)
Inventor
马汀·克里斯多福·荷兰
布莱戴恩·杜瑞兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109786443A publication Critical patent/CN109786443A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate

Abstract

一种半导体装置内的源极/漏极结构的制造方法,该制造方法包含:形成一界面磊晶层在一第一半导体层上,其中该第一半导体层是设置在一基材上;形成一第二半导体层在该界面磊晶层上;以及形成一导电层在该第二半导体层上。

Description

半导体装置内的源极/漏极结构的制造方法
技术领域
本揭露是关于一种晶体管及其制造方法,特别是关于一种异质结构或一种半导体堆叠结构及具有硅-锗界面的晶体管装置及其制造方法。
背景技术
锗具有比硅更高四倍的电荷载子迁移率。因此,锗是使用于具有较小电压的电子装置,此电压是施加来沿着电路驱动电荷载子,换言之,较小的能耗。硅结构上覆锗是广泛用于半导体装置。
发明内容
本揭露的一态样揭露一种半导体装置内的源极/漏极结构的制造方法。方法包含形成界面磊晶层在设置于基材上的锗层之上、形成半导体层在界面磊晶层上、以及形成导电层在半导体层上的操作。
附图说明
根据以下详细说明并配合附图阅读,使本揭露的态样获致较佳的理解。需注意的是,如同业界的标准作法,许多特征并不是按照比例绘示。事实上,为了进行清楚讨论,许多特征的尺寸可以经过任意缩放。
图1是绘示根据本揭露一实施例的用于半导体装置的异质结构;
图2、图3、图4及图5是绘示形成图1的异质结构的操作;
图6是绘示根据本揭露的另一实施例的用于半导体装置的异质结构;
图7是绘示根据本揭露的其他实施例的用于半导体装置的异质结构;
图8、图9、图10、图11、图12及图13是绘示形成图7的异质结构的操作;
图14是绘示根据本揭露的一实施例的用于半导体装置的平面晶体管装置;
图15、图16、图17、图18、图19、图20及图21是绘示形成图14的平面晶体管装置的操作;
图22(a)是绘示根据本揭露一实施例的用于半导体装置的鳍式场效晶体管装置的鳍片结构的剖面视图,且此视图是沿着鳍式场效晶体管装置的栅极堆叠剖面所获得;图22(b)是绘示沿着鳍式场效晶体管装置的源极/漏极区域部面所获得的鳍式场效晶体管装置的剖面视图;
图23、图24、图25、图26、图27、图28、图29、图30及图31是绘示形成图22(a)及图22(b)的鳍片结构的操作;
图32(a)是绘示根据本揭露一实施例的用以测量接触电阻的线状传输线模型(linear transmission line model,linear TLM)的测试结构,且图32(b)是绘示测量接触电阻的配置;
图33(a)是绘示根据本揭露一实施例的用以测量接触电阻的环状传输线模型(circular transmission line model,circular TLM)的测试结构,且图33(b)是绘示测量接触电阻的配置;
图34是绘示根据本揭露一实施例的硅及锗的界面的能量示意图;
图35(a)及图35(b)是绘示根据本揭露实施例的指示温度对锗及硅-锗的界面的影响;
图36是绘示根据本揭露一实施例介于硅掺杂硅(Si:P)及锗层之间的界面。
具体实施方式
以下揭露提供许多不同实施例或例示,以实施发明的不同特征。以下叙述的成份和排列方式的特定例示是为了简化本揭露。这些当然仅是做为例示,其目的不在构成限制。举例而言,元件的尺寸不限于所揭露的范围或数值,而是取决于制程条件及/或所要的装置特性。再者,第一特征形成在第二特征之上或上方的描述包含第一特征和第二特征有直接接触的实施例,也包含有其他特征形成在第一特征和第二特征之间,以致第一特征和第二特征没有直接接触的实施例。许多特征的尺寸可以不同比例绘示,以使其简化且清晰。
再者,空间相对性用语,例如“下方(beneath)”、“在…之下(below)”、“低于(lower)”、“在…之上(above)”、“高于(upper)”等,是为了易于描述附图中所绘示的元素或特征和其他元素或特征的关系。空间相对性用语除了附图中所描绘的方向外,还包含元件在使用或操作时的不同方向。装置可以其他方式定向(旋转90度或在其他方向),而本文所用的空间相对性描述也可以如此解读。除此之外,用语“由…制成(made of)”的意义可为“包含(comprising)”或“由…组成(consisting of)”。在本揭露中,用语“A、B及C其中的一者”表示“A、B及/或C”(A、B、C、A及B、B及C、或A、B及C),而不表示来自A的一种元素、来自B的一种元素及来自C的一种元素,除非另外进行说明。
对于锗上覆硅结构,成长的错位密度一般为109至1010cm-2,其使锗上覆硅结构不利于实际应用。在成长硅层之后,退火制程可用于磊晶反应器中,以减少错位。随着技术进步至纳米世代,在半导体制造操作中的热积存(thermal budget)变得愈来愈小,在硅层成长之后,可避免进行退火制程。因此,需要具有锗及硅的界面的高效率异质结构或晶体管装置。在本揭露中,揭露在硅及锗之间具有较佳界面性质的半导体装置的制造方法。
图1是绘示根据本揭露一实施例的异质结构或堆叠半导体结构。在一些实施例中,异质结构为场效晶体管(field effect transistor,FET)的源极/漏极结构。在图1中,异质结构是设置在基材100上。在一些实施例中,基材100包含在至少其界面部分上的单晶半导体层。基材100可包含单晶半导体材料,例如但不限于Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及InP。在特定实施例中,基材100是由晶体硅所组成。
异质结构包含第一半导体层110、第一界面磊晶层120、第二界面磊晶层130、第二半导体层140及导电金属接触层150。第一半导体层110包含锗层。在一些实施例中,锗层是以n型掺质(例如磷)掺杂,以增加电荷载子的数量,及增加第一半导体层110及导电金属接触层150的耦合。
第一半导体层110可为磷掺杂锗层。在一些实施例中,一或多个缓冲层是设置在硅基材100及锗第一半导体层110之间,以缓解锗及硅之间的晶格不匹配(latticemismatch)。
在一些实施例中,第二半导体层140包含硅层。同样地,第二半导体层140是以n型掺质掺杂,以增加电荷载子浓度,且在一些实施例中,n型掺质包含磷。如此一来,第二半导体层140可为硅掺杂硅层。磷掺杂硅层可减少导电金属接触层150及第二半导体层140之间的界面的接触电阻。
第一界面磊晶层120及第二界面磊晶层130是设置在第一半导体层110上。第二半导体层140是设置在界面磊晶层120及130上。在一些实施例中,第一界面磊晶层120包含第一半导体层110及第二半导体层140的元素。在一些实施例中,第一界面磊晶层120为SiGe层,设置在磷掺杂锗层的第一半导体层110上,且设置在磷掺杂硅层的第二半导体层140之下。
在一些实施例中,第二界面磊晶层130包含第一半导体层110及第二半导体层140的元素。在一些实施例中,第二界面磊晶层130为SiGe层,设置在第一界面磊晶层120上,且设置在磷掺杂硅层的第二半导体层140之下。在一些实施例中,第一界面磊晶层120为SiGe层,且第二界面磊晶层130为SiGe层。在一些实施例中,第一界面磊晶层120的SiGe层的组成是不同于第二界面磊晶层130的SiGe层的组成。在特定实施例中,第一界面磊晶层120为SixGe1-x层,而第二界面磊晶层130为SiyGe1-y层,其中x不等于y。在一些实施例中,第一界面磊晶层120为SixGe1-x层,而第二界面磊晶层130为SiyGe1-y层,其中x小于y。在一些实施例中,0.1≦x≦0.5,且0.4≦y≦0.8,其中x<y。在特定实施例中,第一界面磊晶层120为Si0.3Ge0.7层,而第二界面磊晶层130为Si0.6Ge0.4层。在一些实施例中,不使用第一界面磊晶层120或第二界面磊晶层130其中一者。
在一些实施例中,具有组成SizGe1-z的一个界面磊晶层是设置在第一半导体层110及第二半导体层140之间,且z随着第一半导体层110朝第二半导体层140改变(增加)。
接触层150是由导电金属层或导电层所形成,其是包含Co、Ni、W、Ti、Ta、Cu、Al、Mo、TiN、TaN、WSi2、Ni-Si、Co-Si、WN、TiAlN、TaCN、TaSiN、例如Ti-Al合金、Al Cu合金的金属合金、其他合适的材料及/或上述的组合。
图2、图3、图4及图5是绘示图1所示的实施例的结构的形成的操作。在图2中,第一半导体层110是形成在基材100上,其是通过沉积方法,例如化学气相沉积(chemical vapordeposition,CVD)[包含低压化学气相沉积(low vapor CVD,LPCVD)以及等离子辅助化学气相沉积(plasma enhanced CVD,PECVD)]、原子层沉积(atomic layer deposition,ALD)、物理气相沉积(physical vapor deposition,PVD)[例如:脉冲激光沉积(pulsed laserdeposition,PLD)、溅镀、蒸镀(evaporative deposition)]或其他合适的制程。在一些实施例中,第一半导体层110是形成为具有一厚度,以减少应力/应变。举例而言,但不构成限制的是,在一些实施例中,第一半导体层110是形成为具有约2nm至约20nm的厚度。在一些实施例中,第一半导体层110包含锗层。同样地,第一半导体层110是以n型掺质掺杂,以增加电荷载子浓度,且在特定实施例中,n型掺质包含磷。掺杂操作可通过在第一半导体层的沉积及/或离子布植时进行原位掺杂。如此一来,第一半导体层110可为磷掺杂锗层。
在图3中,第一界面磊晶层120是形成在第一半导体层110上,其是通过气相磊晶(vapor-phase epitaxy,VPE)、化学气相沉积、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid-phase epitaxy,LPE)、原子层沉积(atomic layer deposition,ALD)或其他合适的方法。在一些实施例中,第一界面磊晶层120包含第一半导体层110及第二半导体层140的元素。在一些实施例中,第一界面磊晶层120为硅锗层,其是设置在磷掺杂锗层的第一半导体层110上,且设置在磷掺杂硅层的第二半导体层140下。
再者,第二界面磊晶层130是选择性地形成在第一界面磊晶层120上,其是通过气相磊晶、化学气相沉积、分子束磊晶、液相磊晶、原子层沉积或其他合适的方法。在一些实施例中,第二界面磊晶层130是通过与第一界面磊晶层相同的方法所形成。在此例示中,在一些实施例中,第二界面磊晶层130是在第一界面磊晶层120成长之后而连续性地形成于相同的沉积腔室中。在其他实施例中,第二界面磊晶层130是通过与第一界面磊晶层120不同的方法所形成。
在图4中,第二半导体层140是形成在第二界面磊晶层上,其是通过沉积方法,例如化学气相沉积(包含低压化学气相沉积以及等离子辅助化学气相沉积)、原子层沉积、物理气相沉积(例如:脉冲激光沉积、溅镀、蒸镀)或其他合适的制程。在一些实施例中,上述界面磊晶层120、界面磊晶层130及第二半导体层140的每一者是与第一半导体层110形成在相同的腔室中。在其他实施例中,第二半导体层140是形成在与第一半导体层110不同的腔室中。第二半导体层140是形成为具有一厚度,以减少结构中的应力/应变。举例而言,但不构成限制的是,在一些实施例中,第一半导体层110是形成为具有约2nm至约20nm的厚度。在一些实施例中,第二半导体层140包含硅层。同样地,第二半导体层140是以n型掺质掺杂,以增加电荷载子浓度,且在特定实施例中,n型掺质包含磷。掺杂操作可通过在第一半导体层的沉积及/或离子布植时进行原位掺杂。如此一来,第二半导体层140可为磷掺杂硅层。磷掺杂硅层可减少在导电金属接触层150及第二半导体层140之间的界面的接触电阻。
在图5中,导电金属接触层150是形成在基材100上,其是通过沉积方法,例如化学气相沉积[包含低压化学气相沉积以及等离子辅助化学气相沉积]、原子层沉积、物理气相沉积[例如:脉冲激光沉积、溅镀、蒸镀、阴极电弧沉积(cathodic arc deposition)、电子束物理气相沉积]或其他合适的制程。导电金属接触层150是由导电金属层或包含除了金属的元素的导电层所形成,其是包含Co、Ni、W、Ti、Ta、Cu、Al、Mo、TiN、TaN、WSi2、Ni-Si、Co-Si、WN、TiAlN、TaCN、TaSiN、例如Ti-Al合金、Al Cu合金的金属合金、其他合适的材料及/或上述的组合。
图6是绘示根据本揭露另一实施例的用于半导体装置的另一异质结构或半导体堆叠结构。在图6中,在第一半导体层110及第二半导体层140之间有二层以上的界面层。在图6的实施例中,在第一半导体层110及第二半导体层140之间,形成二层或更多的其他界面磊晶层,其是具有与第一界面磊晶层120及第二界面磊晶层130相同或不同数量的组成元素硅或锗。同样地,举例而言,但不构成限制的是,在第一半导体层110及第二半导体层140之间,形成一种超晶格结构,其是具有第二界面磊晶层130在第一界面磊晶层120之上在第二界面磊晶层130之上在第一界面磊晶层120之上等。超晶格结构也可写成130/(120/130)N/120的形式,其中N代表双层的数量的自然数。另外,在一些实施例中,形成具有第二界面磊晶层130在第二界面磊晶层130之上在第一界面磊晶层120之上在第一界面磊晶层120之上(130/130/120/120)的堆叠结构。也可形成130M/(120/130)N/120M的混合结构,其中M为与N相同或不同的自然数。再者,在一些实施例中,形成具有不同组成的层121、层122、层123等的修饰组成130/…123/133/122/132/121/131/120的多层。相似地,在一些实施例中,相较于界面磊晶层130的组成,界面磊晶层131、界面磊晶层132、界面磊晶层133等是具有不同的组成。组成分级结构亦可利用多层结构而形成,举例而言,硅的数量自界面磊晶层120至界面磊晶层130增加,而锗的数量自界面磊晶层120至界面磊晶层130减少。同样地,在一些实施例中,界面磊晶层120或界面磊晶层130的组成沿着界面磊晶层的厚度方向渐渐地改变。本领域具有通常知识者应理解的是,额外的界面磊晶层120或界面磊晶层130的任意数量的结合是插入至第一界面磊晶层120及第二界面磊晶层130之间。插入额外界面层至任意堆叠序列或组成结合中的修饰是适用于在此所揭露的任何实施例中。
图7是绘示根据本揭露另一实施例的用于半导体装置的另一异质结构或半导体堆叠结构。异质结构包含被图案化的第一半导体层110、第一界面磊晶层120、第二界面磊晶层130、第二半导体层140及导电金属接触层150。第一界面层120是设置在被图案化的第一半导体层110上,并完全覆盖被图案化的第一半导体层110的上表面及侧表面,且与基材100接触。第二半导体层140是设置在第二界面层130上,并完全覆盖第二界面层130的上表面及侧表面,且与基材100接触。导电金属接触层150是设置在第二半导体层140上,并完全覆盖第二半导体层140的上表面及侧表面,且与基材100接触。
在图7中,异质结构是设置在基材100上,其中基材100是由与上述图1或图6的实施例相同的材料所形成。用以形成层110、层120、层130、层140及层150的材料是如上述图1或图6的实施例,且不会重复说明。
图8、图9、图10、图11、图12及图13是绘示形成图7的异质结构的操作。图8绘示基材100。在图9中,第一半导体层110是形成在基材100上,其是通过沉积方法,例如化学气相沉积(包含低压化学气相沉积以及等离子辅助化学气相沉积)、原子层沉积、物理气相沉积(例如:脉冲激光沉积、溅镀、蒸镀)或其他合适的制程。同样地,第一半导体层110是通过磊晶方法所形成,例如气相磊晶、分子束磊晶、原子层沉积及液相磊晶。第一半导体层110是形成为具有一厚度,以减少结构中的应力/应变。举例而言,但不构成限制的是,在一些实施例中,第一半导体层110是形成为具有约2nm至约20nm的厚度。在一些实施例中,第一半导体层110是以掺质掺杂的半导体所形成,其是通过原位沉积法或离子布植法。
在图10中,在图13所示的操作中所形成的第一半导体层110是通过光蚀刻法被图案化。被图案化的第一半导体层110的尺寸是裁剪为装置的元件,例如记忆体装置的电极线,例如随机存取记忆体(random access memory,RAM)的位线(bit line)或字符线(wordline),或平面或非平面(例如:鳍片)晶体管的源极/漏极部分。
在图11中,第一界面磊晶层120是形成在基材100上,其是通过气相磊晶、化学气相沉积、分子束磊晶、液相磊晶、原子层沉积或其他合适的方法。第二界面磊晶层130亦是设置在第一半导体层110上。第二界面磊晶层130是通过上述任意磊晶方法而形成在第一界面磊晶层120上。在一些实施例中,第二界面磊晶层130是通过与第一界面磊晶层相同的方法所形成。在此例示中,在一些实施例中,第二界面磊晶层130是在第一界面磊晶层120成长之后而连续性地形成于相同的沉积腔室中。第二界面磊晶层130亦可通过与第一界面磊晶层120不同的方法所形成。所形成的第一界面磊晶层120及第二界面磊晶层130是通过光微影及蚀刻方法而被图案化。
在图12中,第二半导体层140是形成在基材100上,其是通过上述任一用以沉积及掺杂第一半导体层110的方法及技术。所形成的第二半导体层140是通过光微影及蚀刻方法(包含UV光微影)而被图案化,以移除接触基材100的部分,而不移除覆盖第二界面磊晶层130的部分,如图12所示。
在图13中,导电金属接触层150是形成在基材100上,其是通过沉积方法,例如化学气相沉积[包含低压化学气相沉积以及等离子辅助化学气相沉积]、原子层沉积、物理气相沉积[例如:脉冲激光沉积、溅镀、蒸镀、阴极电弧沉积、电子束物理气相沉积]或其他合适的制程。形成在第二半导体层140上的导电金属接触层150是通过光微影及蚀刻方法而被图案化,以移除接触基材100的部分,而不移除覆盖第二半导体层140的部分,如图13所示。
图14是绘示根据本揭露一实施例的用于半导体装置的平面晶体管装置。在图14中,平面晶体管是以上述图1的实施例的任意材料形成在基材100上。平面晶体管包含锗层110,其是做为在晶体管中用以电荷载子传输的锗通道。锗层110是设置在SiGe缓冲层上,其中SiGe缓冲层在基材100上。锗层110包含做为晶体管的源极及漏极区域的扩散区域[表示为“Ge:P(S/D)”,其是代表磷掺杂锗源极/漏极区域]。扩散区域是以掺杂锗层110而形成,其是通过离子布植法。平面晶体管更包含隔离绝缘层,亦可称为浅沟渠隔离(shallow trenchisolation,STI)层。隔离绝缘层是由合适的介电材料所组成,例如氧化硅、氮化硅、氮氧化硅、氟掺杂硅玻璃(fluorine-doped silicate glass,FSG)、低介电常数介电质(例如碳掺杂氧化物)、极低介电常数介电质(例如孔洞碳掺杂二氧化硅)、聚合物(例如聚酰亚胺)及上述的组合。
平面晶体管更包含堆叠在第一界面磊晶层120上的第二界面磊晶层130,其中第一界面磊晶层120是设置在Ge:P(S/D)区域上。平面晶体管更包含设置在第二界面磊晶层130上的第二半导体层140。
在源极及漏极区域之间,平面晶体管包含栅极介电层210及栅极电极层220所形成的栅极堆叠,其中栅极介电层210是在通道区域的锗层110上,且通道区域是在Ge:P(S/D)区域之间。栅极电极层220可为单层或多层结构。在本实施例中,栅极电极层220为多晶硅。再者,在一些实施例中,栅极电极层220为具有均匀或不均匀掺杂的掺杂多晶硅。在另一些实施例中,栅极电极层220包含金属,例如Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlN、TaN、NiSi、CoSi、其他具有与基材材料匹配的功函数的导电材料,或上述的组合。在本实施例中,栅极电极层220的厚度范围为20nm至100nm。
在一些实施例中,栅极介电层210包含氧化硅、氮化硅、氮氧化硅或高介电常数介电质(高k介电质)。高k介电质包含金属氧化物。用于高k介电质的金属氧化物的具体例包含Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu及/或上述的混合物。在本实施例中,栅极介电层210为高k介电层,其厚度范围为约1nm至约5nm。栅极介电层210更包含界面层(图未绘示),以减少在栅极介电层210及第一半导体层110的通道之间的破坏。在一些实施例中,界面层包含氧化硅。
栅极堆叠是被侧壁间隙壁230所包围,其中侧壁间隙壁230将栅极堆叠与源极及漏极区域分开。侧壁间隙壁230包含SiN、SiON、SiCN、SiCO、SiOCN或任何其他合适的介电材料其中的一或多者。
平面晶体管是被通孔所形成的层间介电层(interlayer dielectric,ILD)所覆盖,且接触层150是通过以导电材料填充通孔而形成。层间介电层的材料包含含有Si、O、C及/或H的化合物,例如氧化硅、SiCOH及SiOC。有机材料(例如聚合物)可做为层间介电层。用以形成第一界面磊晶层120、第二界面磊晶层130、第二半导体层140及接触层150的材料为上述本揭露的其他实施例(例如图7的实施例)的材料。
图15、图16、图17、图18、图19、图20及图21是绘示形成图14的平面晶体管装置的操作。在图15中,第一半导体层110是形成在SiGe缓冲层上,其中第一半导体层110是做为晶体管的电荷载子传输的锗通道的锗层,且SiGe缓冲层是在基材100上。然后,浅沟渠隔离层(亦可称为隔离绝缘层)是透过例如化学气相沉积、流动式化学气相沉积(flowable CVD,FCVD)或旋涂式玻璃制程的制程所形成,亦可使用任意适用的制程。
栅极堆叠是形成在第一半导体层110上,且栅极堆叠包含栅极介电层210及栅极电极层220。栅极介电层210是利用物理气相沉积、化学气相沉积、原子层沉积、热氧化、紫外光-臭氧氧化或上述的组合而形成。栅极电极层220可利用化学气相沉积(包含低压化学气相沉积以及等离子辅助化学气相沉积)、物理气相沉积、原子层沉积或其他合适的方法而形成。所形成的栅极电极层220及栅极介电层210是通过光微影及蚀刻方法而被图案化。
图16是绘示形成扩散区域[表示为“Ge:P(S/D)”,其是代表磷掺杂锗源极/漏极区域]在锗层110内的源极及漏极区域的操作。在一些实施例中,电位井(potential well)是利用栅极电极层220做为罩幕,并通过离子布植掺杂锗层110而形成。在一些实施例中,电位井亦可在磊晶制程时通过掺杂锗层110而形成,其中在有机金属化学气相沉积(metal-organic CVD,MOCVD)时磷是与GeH4或Ge2H6共同流动。在一些实施例中,掺质浓度为约5×1017至约5×1019cm-3
图17是绘示成围绕栅极堆叠的侧壁间隙壁230的操作。侧壁间隙壁230可通过原子层沉积或化学气相沉积或其他任何合适的方法而形成。
图18是绘示形成第一界面磊晶层120及第二界面磊晶层130在第一半导体层110上的操作,其是通过磊晶方法,例如气相磊晶、化学气相沉积、分子束磊晶、液相磊晶、原子层沉积或其他合适的方法。
图19是绘示形成第二半导体层140在第二界面磊晶层130上的操作,其是通过化学气相沉积(包含低压化学气相沉积以及等离子辅助化学气相沉积)、物理气相沉积、原子层沉积或其他合适的制程,例如磊晶方法(包含气相磊晶、化学气相沉积、分子束磊晶、液相磊晶)。
图20是绘示形成层间介电层在图19的实施例上的操作,其是通过沉积技术,例如化学气相沉积(包含低压化学气相沉积、等离子辅助化学气相沉积及流动式化学气相沉积)、脉冲激光沉积(例如:脉冲激光沉积)或溅镀方法[例如:射频(radio frequency,rf)溅镀]以及原子层沉积。
图21是绘示通过光微影及蚀刻方法蚀刻层间介电层,以形成二通孔在晶体管的源极及漏极区域的操作。导电金属接触层150是通过填充通孔而形成。
图22(a)及图22(b)是绘示根据本揭露一实施例的用于半导体装置的鳍式场效晶体管装置的侧视图,其是分别沿着栅极堆叠及沿着源极/漏极区域剖视。鳍片结构是形成在基材100上,其中基材100是由如上述图1的任何材料所形成。鳍片结构包含第一半导体层110、第一界面磊晶层120、第二界面磊晶层130、第二半导体层140及接触层150依序形成在鳍片结构110上。绝缘层180是设置在鳍片结构(第一半导体层)110之间。鳍片结构110自基材100中突出。用以形成第一半导体层110、第一界面磊晶层120、第二界面磊晶层130、第二半导体层140、接触层150及绝缘层180的材料可为图14的实施例中用以形成第一半导体层110、第一界面磊晶层120、第二界面磊晶层130、第二半导体层140及接触层150的材料。为了标示目的,在图22(b)中,栅极堆叠的位置是以虚线显示。
鳍式场效晶体管亦包含形成在栅极介电层210上的栅极电极层220,如图22(a)所示。在至少一实施例中,栅极电极层220覆盖第一半导体层110的至少一鳍片的上部分(通道区域)。最后的晶体管为多鳍片晶体管,或在另一些实施例中,第一半导体层的每一个上部分是用以形成个别的鳍式场效晶体管。在一些实施例中,栅极电极层220包含多晶硅。再者,在其他实施例中,栅极电极层220是具有均匀掺杂或不均匀掺杂的掺杂多晶硅。在另一些实施例中,栅极电极层220包含金属,例如Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlN、TaN、NiSi、CoSi、其他具有与基材材料匹配的功函数的导电金属,或上述的组合。在本实施例中,栅极电极层220具有约20nm至约100nm的厚度。
图23、图24、图25、图26、图27、图28、图29、图30及图31是绘示形成图22(a)及图22(b)的鳍式场效晶体管的操作。图23是绘示形成在第一半导体层110上的第一罩幕层190及第二罩幕层200。第一罩幕层190为由氧化硅所组成的衬垫氧化层,其是可通过热氧化形成。第二罩幕层200是由氮化硅(SiN)所组成,其是通过化学气相沉积(包含低压化学气相沉积以及等离子辅助化学气相沉积)、物理气相沉积、原子层沉积或其他合适的方法而形成。光阻层(图未绘示)是被形成及被图案化在罩幕层190及罩幕层200上。图23是绘示通过利用包含光微影及蚀刻的图案化操作而被图案化至罩幕图案内的罩幕层190及罩幕层200。
在图24中,第一半导体层110是被图案化,其是通过包含一或多种光微影制程(包含双微影或多微影制程)的任何合适的方法。双微影或多微影制程结合光微影及自对准制程,以使被制作的图案,举例而言,具有的节距是小于利用单一直接光微影制程所获得的节距。在一些实施例中,第一半导体层110是后续通过等离子干式及/或湿式蚀刻方法而被蚀刻,以形成鳍片结构。
图25是绘示形成在完整鳍片结构上的绝缘层180,其是通过沉积技术,例如化学气相沉积(包含低压化学气相沉积、等离子辅助化学气相沉积及流动式化学气相沉积)、脉冲激光沉积(例如:脉冲激光沉积)或溅镀方法[例如:射频溅镀]以及原子层沉积。
图26是绘示进行化学机械研磨(chemical and mechanical polishing,CMP)操作,以移除第一罩幕层190及第二罩幕层200。图27是绘示进行选择性蚀刻操作,减少绝缘层180的厚度,以暴露出鳍片结构。在一些实施例中,蚀刻步骤是利用湿式蚀刻制程进行,例如通过浸泡图26的具体例在氢氟酸内。在其他实施例中,蚀刻步骤是利用干式蚀刻制程进行,例如利用CHF3或CF4做为蚀刻气体的干式蚀刻制程。
图28为沿着栅极结构剖切的剖面视图,并绘示形成在第一半导体层110的鳍片结构上的栅极堆叠。首先,栅极介电层210是形成在鳍片结构上,然后,栅极电极层220是形成在栅极介电层210上。接着,栅极介电层210及栅极电极层220是被图案化,以暴露出第一半导体层110的鳍片结构的源极及漏极区域。在一些实施例中,第一半导体层110的暴露出的鳍片结构(源极/漏极区域)经过利用例如n型掺质(包含磷)的掺杂操作,以增加源极及漏极区域内的电荷载子数目。掺杂操作可通过离子布植进行。
在一些实施例中,栅极介电层210包含氧化硅、氮化硅、氮氧化硅或高k介电质。高k介电质包含金属氧化物。使用为高k介电质的金属氧化物的具体例包含Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物及/或上述的组合。在本实施例中,栅极介电层210为具有厚度围为约1nm至约5nm的高k介电层。栅极介电层210是利用例如物理气相沉积、化学气相沉积、原子层沉积、热氧化、紫外光-臭氧氧化或上述的组合的合适制程而形成。栅极介电层210可更包含界面层(图未绘示),以减少栅极介电层210及第一半导体层110的通道鳍片之间的破坏。界面层可包含氧化硅。
栅极电极层220是形成在栅极介电层210上,其是利用合适的制程而形成,例如化学气相沉积(包含低压化学气相沉积以及等离子辅助化学气相沉积)、物理气相沉积、原子层沉积或其他合适的制程、电镀或上述的组合。
图29、图30及图31是绘示剖面线在远离栅极堆叠区域的源极/漏极区域的操作。为了方便标示,栅极堆叠的位置是以虚线显示。
图29是绘示沿着源极/漏极区域的剖面视图,并绘示第一界面磊晶层120是成长在暴露的鳍片结构(S/D区域)上方,且在绝缘层180之上。在一些实施例中,第一界面磊晶层120是选择性地成长在暴露的鳍片结构之上,而不形成在绝缘层180上方。在一些实施例中,第一界面磊晶层120是成长为具有约1nm至约3nm的厚度,且是通过气相磊晶、化学气相沉积、分子束磊晶、液相磊晶、原子层沉积或其他合适的方法进行成长。第一界面磊晶层120的厚度范围是被鳍片之间的节距所限制。换言之,若鳍片节距太小,第一界面磊晶层120无法形成为厚膜。
图30是绘示形成厚度为约1nm至约3nm的第二界面磊晶层130在第一界面磊晶层120上的操作,且是通过气相磊晶、化学气相沉积、分子束磊晶、液相磊晶或其他合适的方法。第一界面磊晶层120及第二界面磊晶层130的总厚度范围是被鳍片之间的节距所限制。换言之,若鳍片节距太小,第一界面磊晶层120及第二界面磊晶层130无法形成为厚膜。再者,第二半导体层140是形成在第二界面磊晶层130上。第二半导体层140可通过用以沉积及掺杂第一半导体层110的任何方法所形成。
图31是绘示形成接触层或导电层150在第二半导体层140的操作。接触层150是形成在基材100上,其是通过沉积方法,例如化学气相沉积(包含低压化学气相沉积以及等离子辅助化学气相沉积)、原子层沉积、例如脉冲激光沉积的物理气相沉积、溅镀、蒸镀、阴极电弧沉积、电子束物理气相沉积或其他合适的制程。
为了评估根据上述实施例的所制作的异质结构或半导体堆叠层的Ge及Si之间的界面品质,片电阻可通过四点探针法量测,其是以伏特计的二探针之间距g是施加在样品的表面,其中施以直流电流的一探针是接触间距g的伏特计的左边探针的左侧表面的点,而施以直流电流的另一探针是接触间距g的伏特计的右边探针的右侧表面的另一点。当待测层的厚度或待测层的总厚度是远小于待测表面的平面尺寸时,单位为Ω/□的片电阻是正比于电压V除以施加电流I。换言之,V对I作图的线性拟合斜率,乘上校正系数即可得到片电阻,而电阻率可通过将片电阻乘以待测层或多层的厚度而获得。
接受四点探针法的样品包含磷掺杂锗(Ge:P)、在Ge:P上的磷掺杂硅(Si:P)、在Ge:P上的Se1-xGex层(x=0.4-0.7)、在Ge:P上具有不同组成的二个Se1-xGex层(x=0.4-0.7)、在Ge:P上的Se1-xGex层(x=0.4-0.7)上的Si:P、在Ge:P上的具有不同组成的二个Se1-xGex层(x=0.4-0.7)上的Si:P、在Ge:P上的Se1-xGex层(x=0.4-0.7)上的Si:P上的TiN,以及在Ge:P上的具有不同组成的二个Se1-xGex层(x=0.4-0.7)上的Si:P之上的TiN。
在一些实施例中,为了测量接触电阻,使用线状传输线模型(lineartransmission line model,linear TLM)。此方法是用以测量片电阻及接触电阻。图32(a)及图32(b)呈现线状传输线模型的测试结构。在测试结构中,磷掺杂锗层或磷掺杂锗基材3200是先被形成。接着,在一些实施例中,磷掺杂硅层是被形成且被图案化为图案化层3201。同样地,在一些实施例中,图案化层3201为在Se1-xGex层(x=0.4-0.7)上的磷掺杂硅层(Si:P)、在具有不同组成的二个Se1-xGex层(x=0.4-0.7)上的磷掺杂硅层(Si:P)、在Si:P上的TiN、在Se1-xGex层(x=0.4-0.7)上的Si:P上的TiN,以及在具有不同组成的二个Se1-xGex层(x=0.4-0.7)上的Si:P上的TiN。
在一些实施例中,在线状传输线模型的测试结构中,图案化层3201是被形成为具有相同尺寸。在图案化层之间的间隙距离d1、d2、d3及d4是被形成为不同,以提供线形拟合的数据点。绝缘材料是被设置以填充间隙,以做为在相邻图案化层3201之间的绝缘层3202。绝缘层3202是由氧化硅(SiO2)、氮化硅(Si3N4)、氮氧化硅(SiON)、SiOCN、SiCN、Al2O3、氟掺杂硅玻璃(fluorine-doped silicate glass,FSG)、低k介电材料或各种用以制造半导体装置的其他合适的材料所组成,但不以此为限。在一些实施例中,设置在锗层3200上的绝缘层3202为电及热的绝缘体,且具有约5nm至约350nm的厚度。
可得到测量电阻对测量间隙距离d1、d2及d3的线形拟合图,且外插法可获得二倍接触电阻的y截距值。由于各种间隙距离d1、d2及d3,线状传输线模型法对失准较不灵敏,且较适用于非均匀接触电阻。然而,线状传输线模型可被寄生电流(parasitic current)所影响,其中寄生电流是在不被绝缘材料所填充的间隙距离d1、d2及d3隔离的区域内。
图33(a)及图33(b)是绘示环状传输线模型(circular transmission linemodel,circular TLM)的其他测试结构。在环状传输线模型的测试结构中,在一些实施例中,磷掺杂锗层3300是被形成,且磷掺杂硅层是被图案化为具有图案化层3301以及被绝缘材料3302填充的同心环凹槽。同样地,在一些实施例中,图案化层3301为在Se1-xGex层(x=0.4-0.7)上的磷掺杂硅层(Si:P)、在具有不同组成的二个Se1-xGex层(x=0.4-0.7)上的磷掺杂硅层(Si:P)、在Si:P上的TiN、在Se1-xGex层(x=0.4-0.7)上的Si:P上的TiN,以及在具有不同组成的二个Se1-xGex层(x=0.4-0.7)上的Si:P上的TiN。
在图案之间的圆形环间隙距离中,绝缘材料是被沉积以填充间隙距离,而形成绝缘环图案3302。绝缘环图案3302是形成为与相邻环3302具有相同宽度及相同距离。因此,在一些实施例中,环状传输线模型具有比线型传输线模型更高的精准度要求。绝缘环图案3302是由氧化硅(SiO2)、氮化硅(Si3N4)、氮氧化硅(SiON)、SiOCN、SiCN、Al2O3、氟掺杂硅玻璃(fluorine-doped silicate glass,FSG)、低k介电材料或各种用以制造半导体装置的其他合适的材料所组成,但不以此为限。在一些实施例中,设置在锗层3300上的绝缘环图案3302为电及热的绝缘体,且绝缘环图案3302具有约5nm至约350nm的厚度。在环状传输线模型的测试结构中,导电图案3301是通过绝缘环图案3302完全地与彼此隔离,且不会产生沿着非隔离区域的寄生电流。当中心图案3301的直径D远大于相邻的间隙距离d时,环状几何图形可被简化为线状传输线模型且不被非隔离区域内的寄生电流所干扰,并具有校正系数C=(D/2d)ln[(lt+2d)/D],其中lt为电阻-间距线形图归的x截距,称为“传输长度”,其是待测样品中的载子传输距离。
环状传输线模型方法结构是用以测量在Ge:P交界区上的Si:P上的金属的特定接触电阻。由390℃降低温度至350℃可减少接触电阻32%。再者,导入SiGe界面磊晶层更优化接触电阻。以下表1显示评估本揭露的实施例的样品所获得的数据。
表1
R<sub>□</sub>(Ω/□) d(nm) μ(cm<sup>2</sup>/Vs) Ns(cm<sup>-3</sup>)
Si:P在Si上 335 10 31 5.5×10<sup>20</sup>
Si:P在Ge上,390℃ 耗尽 19 - -
Si:P在Ge上,350℃ 1,440 19 98 2.8×10<sup>19</sup>
Si:P/SiGe:P/Ge,390℃ 860 18 66 1.0×10<sup>20</sup>
Si:P/SiGe:P/Ge,350℃ 720 19 52 1.15×10<sup>20</sup>
Si:P/(SiGe:P)<sub>2</sub>/Ge,390℃ 650 18 50 1.3×10<sup>20</sup>
表1显示在硅基材上的磷掺杂硅层(厚度d为10nm)的片电阻是测量为约335Ω/□,电荷载子迁移率为31cm2/Vs,电荷载子浓度为5.5×1020cm-3。在磷掺杂硅层形成在锗层上,温度为390℃的实施例中,电荷载子被完全耗尽,且异质结构行为似不具有电荷载子。当Ge形成温度降至350℃时,片电阻较高为1440Ω/□。在插入磷掺杂SiGe层在390℃形成的磷掺杂硅层及锗层之间,界面应变是被缓解且片电阻是降至860Ω/□。在Ge形成温度为350℃,具有磷掺杂SiGe层中,片电阻可进一步降低至720Ω/□。在具有两层磷掺杂SiGe层在磷掺杂硅层及锗层的界面中,片电阻大幅降低至接近于在硅基材上的磷掺杂硅等级的数值,即约650Ω/□。
在样品中,相较于在温度390℃磊晶,在350℃或在温度介于330℃及370℃之间磊晶在电阻下降上具有有益的效果。此结果指出,使用由SiGe所组成的一多个界面磊晶层及/或降低Ge层磊晶温度有益地减少接触电阻。因此,介于330℃及370℃之间的磊晶温度,例如350℃,是施加于本揭露的实施例中的任何界面层。使用在此些温度的磊晶可施加以减少或移除陷阱准位及提供在界面的应力导致的缺陷,以及减少接触电阻。陷阱阻挡电荷载子(电子或电洞)的移动,且显著地降低电子装置效能。此技术应用在高且窄的鳍片,以使在鳍片的顶部部分及侧壁部分的接触电阻减少。第一界面层120及第二界面层130的退火可利用电射进行,例如输出能量为约1W的准分子激光(excimer laser)。在一些实施例中,电射具有约308nm的波长及50ns至300ns的脉冲宽度。电射退火持续时间取决于样品尺寸,举例而言,厚的样品需要较长时间的退火制程。激光可由激光二极管发出,并以连续波(continuouswave,CW)激光或具有可调整的每个脉冲的激光能量的脉冲激光的形式,以在不导致任何剥蚀现象下退火。然而,激光退火仅减少在鳍式场效晶体管内的高且窄的鳍片的顶部部分的接触电阻,而不减少鳍式场效晶体管的整个鳍片的侧壁部分的接触电阻。在不使用激光退火下,上述利用SiGe界面层的技术及选择在磊晶时的界面的温度提供减少鳍片的顶部及侧壁部分或整个鳍片的接触电阻的优势。
图34显示硅及锗的界面的能量示意图。硅是被磷掺杂,以增加电荷载子的数目,以及增加金属接触及硅之间的耦合,其是通过导入较多的电荷载子以通道穿过位能障壁。在具有硅/锗界面的装置中,当施加偏压时,硅掺杂硅具有费米能阶EF,Si:P以及导带边缘EC,Si:P,而锗具有费米能阶EF,Ge以及导带边缘EC,Ge。对于结合磷掺杂硅及锗的能带弯曲,沿着距离线x的硅及锗的界面位于0,由于有效的障壁抵消,在硅侧的中止的载子中有“死槽(dead pool)”,其是在图34中以符号A标示;穿隧障壁是在图34中以符号B标示,且由于具有低迁移率的“慢”电荷载子的反射/折射而回弹电荷载子。“慢”电荷载子的回弹为导致在异质结构的硅-锗界面的高界面电阻的主要因素。因此,减少在n型接触上对Ge或SiGe互补式金氧半场效晶体管装置的界面电阻优化装置效能,且有效的异质结构或晶体管装置,例如根据本揭露的鳍式场效晶体管,具有低接触电阻。
在对比方法中,当硅层是形成在锗层上,具有0.5nm至2nm的厚度的磷掺杂锗(Ge:P)先行层是磊晶成长在锗层上。然后,磷掺杂硅(Si:P)层是磊晶成长在Ge:P先行层上或直接在锗层上,以防止锗层的氧化,如磷掺杂硅层具有做为锗及钛顶部接触层之间的阻障的功能,防止锗-金属缺陷态的形成。在此状况中,Si:P及Ge:P的界面可具有大至4.2%的晶格失配,其是倾向产生缺陷态及降解硅-锗异质结构的接触电阻。再者,形成在硅及锗的界面的缺陷可导致成长在平面Ge上的Si:P的耗尽(如以上表1的SiGe在Ge上,390℃的片电阻测量结构所示)。
相反地,根据本实施例(参阅上述表1),由390℃降低温度至350℃优化耗尽的情况。再者,插入SiGe的磊晶层减少电阻。SiGe磊晶层的功能为通过使界面界限分明及条理分布,并调整应变/应力,以减少界面缺陷。在底部Ge层及顶部Si:P层之间具有SiGe层的多层结构或超晶格,电阻可有效地减少,暗指界面缺陷的下降。图35(a)及图35(b)显示本揭露的实施例的样品的穿透式电子显微镜(transmission electron microscope,TEM)显微附图,说明在锗及硅-锗界面上的温度效应。图35(a)显示在390℃形成的锗及硅-锗的界面并不具有清楚的界限。图35(b)显示在350℃形成的锗及硅-锗的界面具有清楚的界限及条理分布。因此,在形成异质结构时降低温度至330℃及370℃之间的温度范围,例如350℃,促进界面界限分明,条理分布的界面结构以及界面特性。
图36显示本露的一实施例的TEM显微附图,其是呈现在磷掺杂硅(Si:P)及锗(Ge)层之间的界面。在Si:P层及Ge层之间,有三个界面,即在Si:P层及Si0.6Ge0.4界面磊晶层之间的界面、在Si0.6Ge0.4界面磊晶层及Si0.3Ge0.7界面磊晶层之间的界面、以及在Si0.3Ge0.7界面磊晶层及Ge层之间的界面。所有的界面是清楚的界限分明,呈现条理分布的原子结构。在一些实施例中,Si0.6Ge0.4界面磊晶层及Si0.3Ge0.7界面磊晶层的每一者具有约1nm至约3nm的厚度。在图36中的SiP-SiGe-Ge异质结构的片电阻是量测为约108Ω/□。相较于SiP-Ge结构的片电阻为170Ω/□,两界面磊晶层大幅地减少接触电阻及增加异质结构或具有异质结构的晶体管装置的装置效能。
本应用揭露一种半导体装置内的异质结构的制造方法。方法包含形成界面磊晶层在设置于基材上的锗层之上、形成半导体层在界面磊晶层上、以及形成导电层在半导体层上的操作。界面磊晶层包含锗元素及来自半导体层的元素,且界面磊晶层具有约1nm至约3nm的厚度。在一或多个前述或以下实施例中,半导体层是由硅所形成。在一或多个前述或以下实施例中,锗层及半导体层是以包含磷的n型掺质掺杂。在一或多个前述或以下实施例中,界面磊晶层是由SixGe1-x所形成,且x介于0及1之间。在一或多个前述或以下实施例中,界面磊晶层包含SiyGe1-y层在SixGe1-x层上的至少两个堆叠层,其中x及y介于0及1之间,且满足x<y。
本应用亦揭露一种鳍式场效晶体管装置的制造方法。方法具有形成锗所组成的鳍片、形成源极/漏极磊晶层在鳍片的源极/漏极区域的每一者上,以及形成接触层在源极/漏极区域上的操作。源极/漏极磊晶层包含在鳍片上的第一层及在第一层上的第二层。第一层包含锗元素及来自第二层的元素,且第一层具有约1nm至约3nm的厚度。在一或多个前述或以下实施例中,第二层为硅层。在一或多个前述或以下实施例中,第一层为SixGe1-x层,且x介于0及1之间。在一或多个前述或以下实施例中,第二层为SiyGe1-y层,x及y介于0及1之间,且满足x<y。在一或多个前述或以下实施例中,x等于0.3,且y等于0.6。在一或多个前述或以下实施例中,方法更包含磊晶在温度范围为330℃至370℃下所形成的第一层及第二层。在一或多个前述或以下实施例中,第一层及第二层是以相同方法所形成。在一或多个前述或以下实施例中,第一层是通过与第二层不同的方法所形成。在一或多个前述或以下实施例中,在鳍片及第一层之间的界面与在第一层及第二层之间的界面是界限分明且条理分布的。
本应用揭露一种场效晶体管装置,其是具有由锗所组成的通道以及源极/漏极部分。源极/漏极部分包含锗层、在锗层上的界面磊晶层、在界面磊晶层上的半导体层,以及在半导体层上的导电层,且界面磊晶层包含锗及来自半导体层的元素,且具有约1nm至约3nm的厚度。在一或多个前述或以下实施例中,半导体层是由硅所形成。在一或多个前述或以下实施例中,锗层及半导体层是以包含磷的n型掺质所掺杂。在一或多个前述或以下实施例中,界面磊晶层是由SixGe1-x所形成,且x介于0及1之间。在一或多个前述或以下实施例中,界面磊晶层是由具有不同成分的SixGe1-x的二个堆叠层所形成,且x介于0及1之间。在一或多个前述或以下实施例中,界面磊晶层的组成是沿着界面磊晶层的厚度方向而变化。
上述摘要许多实施例的特征,因此本领域具有通常知识者可更了解本揭露的态样。本领域具有通常知识者应理解利用本揭露为基础可以设计或修饰其他制程和结构以实现和所述实施例相同的目的及/或达成相同优势。本领域具有通常知识者也应了解与此同等的架构并没有偏离本揭露的精神和范围,且可以在不偏离本揭露的精神和范围下做出各种变化、交换和取代。

Claims (1)

1.一种半导体装置内的源极/漏极结构的制造方法,其特征在于,该制造方法包含:
形成一界面磊晶层在一第一半导体层上,其中该第一半导体层是设置在一基材上;
形成一第二半导体层在该界面磊晶层上;以及
形成一导电层在该第二半导体层上。
CN201810895615.3A 2017-11-13 2018-08-08 半导体装置内的源极/漏极结构的制造方法 Pending CN109786443A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762585232P 2017-11-13 2017-11-13
US62/585,232 2017-11-13
US15/908,135 2018-02-28
US15/908,135 US10847622B2 (en) 2017-11-13 2018-02-28 Method of forming source/drain structure with first and second epitaxial layers

Publications (1)

Publication Number Publication Date
CN109786443A true CN109786443A (zh) 2019-05-21

Family

ID=66433570

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810895615.3A Pending CN109786443A (zh) 2017-11-13 2018-08-08 半导体装置内的源极/漏极结构的制造方法

Country Status (3)

Country Link
US (3) US10847622B2 (zh)
CN (1) CN109786443A (zh)
TW (1) TW201919106A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10847622B2 (en) * 2017-11-13 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain structure with first and second epitaxial layers
CN113539792B (zh) * 2021-07-09 2024-03-01 中国科学院上海微系统与信息技术研究所 全环绕栅极晶体管的制备方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US8067803B2 (en) * 2008-10-16 2011-11-29 Micron Technology, Inc. Memory devices, transistor devices and related methods
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9159824B2 (en) * 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9178045B2 (en) * 2013-09-27 2015-11-03 Samsung Electronics Co., Ltd. Integrated circuit devices including FinFETS and methods of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
KR20220120657A (ko) * 2015-06-24 2022-08-30 인텔 코포레이션 대체 채널 FinFET들에서의 서브-핀 측벽 패시베이션
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10847622B2 (en) * 2017-11-13 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain structure with first and second epitaxial layers

Also Published As

Publication number Publication date
TW201919106A (zh) 2019-05-16
US20220328633A1 (en) 2022-10-13
US20210104606A1 (en) 2021-04-08
US11374095B2 (en) 2022-06-28
US20190148495A1 (en) 2019-05-16
US10847622B2 (en) 2020-11-24

Similar Documents

Publication Publication Date Title
US11721762B2 (en) Fin field effect transistor (FinFET) device and method for forming the same
TWI667699B (zh) 具有氣隙間隔件之finfet及其形成方法
US8395220B2 (en) Nanomesh SRAM cell
US10056464B2 (en) III-V gate-all-around field effect transistor using aspect ratio trapping
TWI286344B (en) Isolation spacer for thin SOI devices
US9385023B1 (en) Method and structure to make fins with different fin heights and no topography
CN110364571A (zh) 半导体装置的形成方法
CN107039455A (zh) 半导体元件及其制造方法
CN110603647A (zh) 利用多层栅极隔离减少隔离物图案化过程中半导体鳍片的腐蚀
CN108231892A (zh) 具有弧形底面的合并的外延部件的半导体器件及其制造方法
CN104701359B (zh) 垂直结构AlGaN/GaN HEMT器件及其制作方法
US20220328633A1 (en) Ge based semiconductor device and a method for manufacturing the same
CN108122769A (zh) 鳍式场效晶体管装置的制造方法
US11056588B2 (en) Vertical transport field effect transistor with bottom source/drain
CN108133960A (zh) 垂直堆叠的环栅纳米线隧穿场效应晶体管及其制备方法
CN104425275B (zh) 半导体结构的形成方法
CN104217957B (zh) 晶体管及其形成方法
KR101844712B1 (ko) 핀 전계 효과 트랜지스터 (FinFET) 디바이스 및 이의 형성 방법
CN103187286B (zh) 鳍式场效应晶体管的制作方法
US20210320106A1 (en) Dram capacitor to storage node&#39;s landing pad and bit line airgap
US20240162079A1 (en) Multi-function etching sacrificial layers to protect three-dimensional dummy fins in semiconductor devices
US20230290821A1 (en) Self-aligned contact (sac) in nanosheet transistors
US20230386897A1 (en) Angled contact with a negative tapered profile
KR20240002606A (ko) 집적회로 소자 제조방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190521