CN109698165A - 半导体装置及为其的多个组件提供栅极结构的方法 - Google Patents

半导体装置及为其的多个组件提供栅极结构的方法 Download PDF

Info

Publication number
CN109698165A
CN109698165A CN201811221556.8A CN201811221556A CN109698165A CN 109698165 A CN109698165 A CN 109698165A CN 201811221556 A CN201811221556 A CN 201811221556A CN 109698165 A CN109698165 A CN 109698165A
Authority
CN
China
Prior art keywords
layer
metal layer
thickness
silicate
silicate layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201811221556.8A
Other languages
English (en)
Inventor
王伟义
马克·S·罗德尔
博尔纳·J·奧布拉多维奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109698165A publication Critical patent/CN109698165A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0214Particular design considerations for integrated circuits for internal polarisation, e.g. I2L
    • H01L27/0218Particular design considerations for integrated circuits for internal polarisation, e.g. I2L of field effect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • General Engineering & Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Materials Engineering (AREA)

Abstract

本公开提供一种半导体装置和一种为半导体装置的多个组件提供栅极结构的方法。所述方法包括以下步骤。提供硅酸盐层。在所述硅酸盐层上提供高介电常数层。在所述高介电常数层上提供逸出功金属层。在所述提供高介电常数层之后,执行低温退火。在所述逸出功金属层上提供接触金属层。本公开的方法适用于明显较小的装置。

Description

半导体装置及为其的多个组件提供栅极结构的方法
[相关申请的交叉参考]
本申请主张在2017年10月20日提出申请且名称为“用于为CMOS形成多阈值电压装置的方法”(METHOD FOR FORMING MULTI-Vt DEVICES FOR CMOS)的申请号为62/575,357的美国临时专利申请的权利以及在2018年2月16日提出申请且名称为“用于为CMOS形成多阈值电压装置的方法(METHOD FOR FORMING MULTI-Vt DEVICES FOR CMOS)”的申请号为15/898,420的美国专利申请的权利,所述美国临时专利申请及美国专利申请被转让给本申请的受让人且并入本申请供参考。
技术领域
本申请大体来说涉及形成多阈值电压装置的方法及由此形成的装置。
背景技术
对于各种应用而言,可能期望提供其中组件晶体管具有不同的阈值电压的半导体装置。这种半导体装置被称为多阈值电压(multi-threshold voltage,multi-Vt)装置。举例来说,特定的集成电路可对静态随机存取存储器(static random access memory,SRAM)及逻辑进行组合。SRAM晶体管通常需要比逻辑晶体管高的阈值电压。低阈值电压(lowthreshold voltage,LVt)晶体管可因此用于半导体装置的逻辑部分,而常规阈值电压(regular threshold voltage,RVt)晶体管可用于半导体装置的SRAM部分。因此,包含具有不同的阈值电压的晶体管的多阈值电压半导体装置是人们所期望的。
用于提供多阈值电压装置的传统方法严重依赖于堆叠,所述堆叠包括活性逸出功金属(reactive work function metal)(例如Al和/或Ti),其放置在顶部上的中间隙逸出功金属(mid-gap work function metal)(例如TaN和/或TiN)以用于调节装置的阈值电压。举例来说,可在高介电常数层上提供由TiN/TaN/TiAlC/TiN所组成的堆叠来用作晶体管栅极。晶体管的阈值电压的改变取决于堆叠的层的厚度。TiN/TaN/TiAlC/TiN堆叠通常具有大的厚度以提供期望的阈值电压范围。举例来说,尽管对于接近二十纳米的间距而言行之有效,然而对于十几纳米的低的替换金属栅极(replacement metal gate,RMG)间距而言,放置在高介电常数层(几纳米厚)顶部上的TiN/TaN/TiAlC/TiN堆叠可能会开始合并。
半导体装置中的当前按比例缩放趋势已提供了较低的RMG间距,从而实现较高的装置密度。存在例如鳍型场效应晶体管(fin field effect transistor,finFET)、栅极环绕场效应晶体管(gate all around FET,GAA-FET)及替换金属栅极场效应晶体管(replacement metal gate FET,RMG-FET)等架构来解决按比例缩放的节点处的某些关键问题,例如短沟道效应(short channel effect,SCE)。然而,这种架构不会具体解决多阈值电压装置中的问题。尽管使用逸出功金属堆叠对于当前节点而言非常有效,然而在极端按比例缩放的节点处的较低间距处会出现问题。随着RMG间距由于按比例缩放而减小,相对厚的逸出功金属堆叠可能会合并。换句话说,考虑到极端按比例缩放的节点处的下伏表面的拓扑,厚度足以提供所期望阈值电压改变的逸出功金属堆叠可能不能够适合于可用的RMG间距。如上所述,这种堆叠可能在较低的间距处开始合并。随着多阈值电压装置按比例缩放到较小的大小,阈值电压的变化(sigma Vt)也可增大。这是由于对于大小较小的多晶体逸出功金属而言,电子逸出功(electron work function,eWF)的随机变化会加剧。
存在用于改变阈值电压而不会增大逸出功金属堆叠的厚度且用于解决eWF变化的机制。然而,每一种方法具有各自的缺陷。因此,期望一种改善的机制来控制多阈值电压半导体装置的阈值电压。
发明内容
一种方法为半导体装置的多个组件提供栅极结构。提供硅酸盐层。在一个方面,在互补金属氧化物半导体(complete metal oxide semiconductor,CMOS)装置的沟道上提供硅酸盐层。在所述硅酸盐层上提供高介电常数层。所述方法还包括在所述高介电常数层上提供逸出功金属层。在提供高介电常数层之后执行低温退火。在所述逸出功金属层上提供接触金属层。
本文所述方法通过将硅酸盐层的厚度改变不超过1纳米来改变晶体管的阈值电压。在一些实施例中,几埃的厚度差异便可能引起阈值电压改变数十伏或大于数十伏。因此,在更极端按比例缩放的节点处可提供多阈值电压晶体管。
附图说明
图1是绘示在半导体装置中提供多阈值电压栅极结构的方法的示例性实施例的流程图。
图2a至图2c绘示栅极结构在制作期间的示例性实施例的一些部分。
图3是绘示在半导体装置中提供多阈值电压晶体管的方法的示例性实施例的流程图。
图4至图13绘示多阈值电压半导体装置在制作期间的示例性实施例的一些部分。
具体实施方式
示例性实施例涉及多阈值电压半导体装置的形成。提出以下说明是为了使所属领域中的一般技术人员能够制作并使用本发明,且以下说明是在专利申请及其要求的上下文中提供。对在本文中阐述的示例性实施例以及一般性原理及特征的各种修改将显而易见。示例性实施例主要是针对在具体实施方式中提供的具体方法及系统进行阐述。然而,所述方法及系统在其他实施方式中也将有效地发挥作用。
例如“示例性实施例”、“一个实施例”及“另一个实施例”等短语可指相同或不同的实施例以及多个实施例。实施例将针对具有某些组件的系统和/或装置进行阐述。然而,所述系统和/或装置可包括比图中所示组件更多或更少的组件,且组件的排列及类型可发生变化,而此并不背离本发明的范围。示例性实施例还将在具有某些步骤的具体方法的上下文中进行阐述。然而,所述方法及系统对于不与示例性实施例相矛盾的具有不同的和/或附加的步骤以及处于不同次序的步骤的其他方法而言也会有效地发挥作用。因此,本发明并非旨在仅限于图中所示实施例,而是符合与本文中所述原理及特征相一致的最广范围。
在阐述本发明的上下文中(尤其在以上权利要求书的上下文中)使用的用语“一(a及an)”及“所述(the)”以及相似的指示语应被视为涵盖单数及复数两者,除非在本文中另外指明或明显与上下文相矛盾。除非另外注明,否则用语“包括(comprising)”、“具有(having)”、“包括(including)”及“含有(containing)”应被视为开放式用语(即,意指“包括但不限于”)。
除非另外定义,否则本文所用所有技术及科学用语的含意均与本发明所属领域中的一般技术人员所通常理解的含意相同。应注意,除非另外规定,否则使用本文所提供的任何实例或示例性用语仅旨在更好地说明本发明而并非限制本发明的范围。另外,除非另外定义,否则常用字典中定义的所有用语不能被过度解释。
一种方法为半导体装置的多个组件提供栅极结构。提供硅酸盐层。在一个方面,在CMOS装置的沟道上提供硅酸盐层。在所述硅酸盐层上提供高介电常数层。所述方法还包括在所述高介电常数层上提供逸出功金属层。在提供逸出功金属层之后执行低温退火。在所述逸出功金属层上提供接触金属层。
图1是绘示为半导体装置中的组件(即,晶体管)提供栅极结构的方法100的示例性实施例的流程图。为简明起见,一些步骤可省略、以另一种次序执行和/或进行组合。另外,方法100可在已执行用于形成半导体装置的其他步骤之后开始。举例来说,所述方法可在已界定源极区及漏极区且提供各种结构之后开始。图2a至图2c绘示半导体装置200(例如,MOS装置)在利用方法100制作栅极结构期间的示例性实施例的一些部分。为简明起见,在图2a至图2c中未示出所有组件且图2a至图2c并非按比例绘制。举例来说,图中未示出在栅极结构之前可能已形成的各种结构。另外,可出于解释目的而夸大各个层的厚度。方法100是在半导体装置200的上下文中进行阐述的。然而,方法100也可用于不同的半导体装置。
通过步骤102,在下伏的层上提供硅酸盐层(silicate layer)。在一些实施例中,在对硅酸盐层进行沉积之前已形成界面氧化物层(interfacial oxide layer)(例如,SiO2)。在步骤102中沉积的硅酸盐层用于形成以下所论述的偶极子(dipole),偶极子可有效地改变所形成的组件的阈值电压Vt。举例来说,在步骤102中提供的硅酸盐层可具有不超过2纳米的总厚度。在一些实施例中,硅酸盐层的厚度为至少0.1nm而不大于1纳米。处于此范围中的厚度(一埃到十埃)可足以将所制作装置的阈值电压改变最高达数百毫伏。换句话说,硅酸盐层厚度非常小的改变会引起阈值电压的显著改变。因此,对在步骤102中提供的硅酸盐层的厚度进行定制会提供一种有效的机制来将所制作装置的阈值电压设定成所期望电平(level)。因此,基于所期望阈值电压而定,在步骤102中提供的硅酸盐层可对于一些组件而言较厚、对于一些组件而言较薄和/或对于其他组件而言不存在。
在步骤102中提供的硅酸盐层中所使用的材料可选自各种硅酸盐。举例来说,硅酸盐层可包含以下中的一者或多者:LuSiOx,YSiOx,LaSiOx,BaSiOx,SrSiOx,AlSiOx,TiSiOx,HfSiOx,ZrSiOx,TaSiOx,ScSiOx,MgSiOx,LuSiOx,YSiOx,LaSiOx,BaSiOx,SrSiOx,AlSiOx,TiSiOx,HfSiOx,ZrSiOx,TaSiOx,ScSiOx及MgSiOx,其中Ox表示具有变化的化学计量(stoichiometry)的氧化物。另外,每一硅酸盐层中的硅含量可有所变化,例如从0到不大于70原子百分比。可使用硅酸盐中的硅含量来定制阈值电压的改变。所选择的材料取决于所期望的电压改变的符号以及正在形成的指定装置(即,nFET或pFET)。如果正在制作的组件是nFET且期望阈值电压向下(负向地)改变,则在一些实施例中,在步骤102中提供的硅酸盐可包括以下中的一者或多者:LuSiOx、YSiOx、LaSiOx、BaSiOx及SrSiOx。如果正在制作的组件是nFET且期望阈值电压向上(正向地)改变,则在步骤102中提供的硅酸盐可包括以下中的至少一者:AlSiOx、TiSiOx、HfSiOx、ZrSiOx、TaSiOx、ScSiOx及MgSiOx。如果正在制作的组件是pFET且期望阈值电压向上改变,则在步骤102中提供的硅酸盐可包括以下中的至少一者:LuSiOx、YSiOx、LaSiOx、BaSiOx及SrSiOx。如果正在提供的组件是pFET且期望阈值电压向下改变,则在步骤102中提供的硅酸盐可包括以下中的一者或多者:AlSiOx、TiSiOx、HfSiOx、ZrSiOx、TaSiOx、ScSiOx及MgSiOx。在其他实施例中可使用其他硅酸盐来上下改变电压。因此,通过选择适宜的硅酸盐并配置硅酸盐的厚度,可获得期望的阈值电压改变。
在步骤102中提供的硅酸盐层的厚度对于正在半导体装置上制作的不同的区/组件而言有所变化。此可通过对硅酸盐的子层进行多次沉积及蚀刻来完成。在一些实施例中,所述子层全部由相同的硅酸盐形成。在其他实施例中,子层可视需要由不同的硅酸盐形成。然而,硅酸盐层的总厚度处于上述范围内。
图2a绘示执行步骤102之后的半导体装置200。为简明起见,将层绘示为仅位于其中将形成组件的区中,且图中未示出其他结构(例如间隔件、互连线或其他特征)。然而,一些层或全部层可延伸超出所制作的装置。所述层还被绘示为平面的。然而,在一些实施例中,所述层可为三维的,例如,在下伏的结构是半导体鳍时。另外,如前面所提及,图2a至图2c中所示出的厚度及其他尺寸并非按比例绘示。
正在制作组件240、242、244、246且组件240、242、244、246可各自为晶体管。举例来说,组件240、242、244、246中的每一者可为栅极环绕场效应晶体管(gate all around FET)或替换金属栅极场效应晶体管(replacement metal gate FET)。在一些实施例中,半导体装置200上的所有晶体管均可包括偶极子。在其他实施例中,一些晶体管(图中未示出)可不包括本文中所述的偶极子。图中示出下伏的半导体202。在一些实施例中,半导体202是Si。在其他实施例中,其他衬底包括但不限于允许形成天然SiOx的硅锗(SiGe)、绝缘体上硅(silicon on insulator,SOI)、应变绝缘体上硅(strained silicon on insulator,sSOI)、绝缘体上硅锗(silicon germanium on insulator,SGOI)、应变绝缘体上硅锗(strained silicon germanium on insulator,sSGOI)及类似衬底。图中还绘示了界面氧化物204。在一些实施例中,界面氧化物204是二氧化硅。已提供了硅酸盐层210A、210B、210C、210D。晶体管(即,组件240、242、244、246)分别包括不同厚度的硅酸盐层210A、210B、210C、210D。因此,组件240、242、244、246应具有不同的Vt改变。
在已形成硅酸盐层210A、210B、210C、210D之后,通过步骤104,在硅酸盐层210A、210B、210C、210D上提供高介电常数(high K)层。举例来说,在步骤104中可形成一层HfOx。在一些实施例中,高介电常数层的形成可在两百摄氏度且不大于六百摄氏度的温度下执行。
通过步骤106,在高介电常数层上提供逸出功金属层(work function metallayer)。尽管所有金属均可由逸出功来表征,然而所述层被称为逸出功金属层是由于金属的电子逸出功(electron work function,eWF)是决定正在形成的装置的阈值电压的因素。举例来说,逸出功金属层可包含以下中的至少一者:TiN、TaN、TiSiN、TiTaN、WN及TiTaSiN。在其他实施例中可使用其他金属。逸出功金属还相对薄。举例来说,逸出功金属厚度可不大于3纳米。图2b绘示在执行步骤106之后的半导体装置200。因此,对于所有组件240、242、244、246均示出逸出功金属(图2b中的WFM)层220及高介电常数(high dielectricconstant,HK)层215。
通过步骤108,在形成高介电常数层215及逸出功金属层220之后执行低温退火。低温退火可用于使界面处的硅酸盐层210A、210B、210C、210D与高介电常数层215的混合均质化。另外,退火可帮助控制最终阈值电压。步骤108可包括以至少两百摄氏度且不大于八百摄氏度的退火温度来执行退火。在一些实施例中,最大退火温度不大于六百摄氏度。在一些实施例中,退火温度为至少四百摄氏度。在一些这种实施例中,退火的温度为至少五百摄氏度。
在一些实施例中,步骤108中的退火是利用牺牲活性金属层(图2a至图2c中未示出)来执行。这种活性金属层(reactive metal layer)一般来说将在退火之后被移除。活性金属层可包含Si、Ti、Zr、Hf及La中的一者或多者且可具有不大于4纳米的厚度。还可使用其他材料及其他厚度。这种金属可在退火期间在组件240、242、244、246中的一者或多者上使用,以对最终阈值电压进行附加控制。作为另外一种选择,可从一些或所有组件240、242、244、246省略这种活性金属层。
通过步骤110,接着在逸出功金属层上提供接触金属层。在一些实施例中,接触金属层可为W或Co。然而,在其他实施例中可使用其他金属。图2c绘示执行步骤110之后的半导体装置200。因此,已为正在形成的组件240、242、244、246中的每一者提供了接触金属层230。接着便可完成半导体装置200的制作。
利用方法100,可分别对晶体管(即,组件240、242、244、246)的阈值电压进行调节,以使得半导体装置200成为多阈值电压装置。晶体管(即,组件240、242、244、246)中使用的逸出功金属层220为晶体管(即,组件240、242、244、246)中的每一者设定基准(baseline)阈值电压。利用硅酸盐层210A、210B、210C、210D形成的偶极子可根据硅酸盐层210A、210B、210C、210D的厚度及所使用的材料来上下改变基准阈值电压。假设对于所有组件240、242、244、246使用相同的硅酸盐,则组件246具有最大的改变,组件242具有中间范围的改变,组件240具有较小的改变且组件244具有最小的改变。如上所述,在低温退火期间使用牺牲活性金属层可提供对阈值电压的精细调整。因此,可提供多阈值电压半导体装置200。
半导体装置200及方法100适用于明显较小的装置。如以上所指示,逸出功金属层220在一些实施例中具有近似3纳米的最大厚度。此厚度明显小于逸出功金属堆叠(例如,TiN/TaN/TiAlC/TiN)的总厚度。这个逸出功金属层220提供基准阈值电压。可利用硅酸盐层210A、210B、210C、210D来提供阈值电压的改变。硅酸盐层210A、210B、210C、210D与高介电常数层215的组合的厚度可小于2纳米。在一些实施例中,对于所有组件240、242、244、246而言,硅酸盐层210A、210B、210C、210D厚度可为至少0.1纳米且不大于1纳米。硅酸盐层210A、210B、210C、210D的小于1纳米的厚度变化可使基准阈值电压发生明显改变。举例来说,组件242与组件246之间大约几埃的硅酸盐层厚度差可产生一百毫伏或大于一百毫伏的阈值电压差。因此,可提供多阈值电压装置(即,半导体装置200)而不需要层(即,硅酸盐层210A、210B、210C、210D、高介电常数层215、逸出功金属层220及接触金属层230)的堆叠具有大的总厚度。利用方法100,可制作与大约7纳米到8纳米或小于7纳米到8纳米的RMG间距一致的多阈值电压装置(即,半导体装置200)。堆叠也可足够薄以使其余空间可用于接触金属填充。此可减小电阻率。利用方法100可轻易地实现具有小的间距和/或较大的RMG间距的其他技术。因此,方法100可提供可被按比例缩放到明显较小的大小的多阈值电压装置(即,半导体装置200)。
方法100也可改善可制造性(manufacturability)。用于组件240、242、244、246的堆叠在至少一些实施例中不含有铝。因此,可避免与铝相关的对温度高度敏感的问题。Si的小变化(例如,很少的百分比)(由原子层沉积(atomic layer deposition,ALD)工艺引起的以及由硅上扩散引起的)可不会使由硅酸盐层210A、210B、210C、210D设定的偶极子电压的量值发生明显改变。这是由于带偏移(band offset)已被体(bulk)La及Si含量固定(例如来自LaSiOx)。相比之下,铝轻微地(例如,以0.1%的量)扩散到HfO2高介电常数层中便可使Vt从目标值发生明显变化。以大约300℃的低温沉积的硅酸盐(例如,LaSiOx)是天然非晶体的。所引起的偶极子电压可不具有晶体取向依赖性(crystalline orientationdependency)。这意味着厚的传统的堆叠的局部西格玛阈值电压问题(local sigma Vtissue)可得到减轻或避免。因此,方法100及多阈值电压装置(即,半导体装置200)具有改善的性能及可制造性,特别是对于较小的装置大小。
图3是绘示为多阈值电压半导体装置中的晶体管提供栅极结构的方法120的示例性实施例的流程图。为简明起见,一些步骤可省略、以另一种次序执行和/或进行组合。另外,方法120可在已执行用于形成半导体装置的其他步骤之后开始。举例来说,所述方法可在已界定源极区及漏极区且提供各种结构之后开始。图4至图13绘示半导体装置250(例如,MOS装置)在利用方法100制作栅极结构期间的示例性实施例的一些部分。为简明起见,在图4至图13中未示出所有组件且图4至图13并非按比例绘制。举例来说,图中未示出在栅极结构之前可能已形成的各种结构。另外,可出于解释目的而夸大各个层的厚度。为清晰起见,仅示出位于形成晶体管的区中的结构。因此,未示出下伏的拓扑。然而,所形成的晶体管并非仅限于平面晶体管。而是,方法120可并入包括但不限于FinFET、GAA-FET及RMG-FET的其他架构中。方法120是在半导体装置250的上下文中进行阐述的。然而,方法120也可用于不同的半导体装置。
通过步骤122,在沟道区上形成薄界面氧化物层。界面氧化物可包含SiOx且可天然地形成在沟道上。在一些实施例中,半导体选自允许形成天然SiOx的Si、SiGe、SOI、sSOI、SGOI、sSGOI及类似的衬底。
通过步骤124,在沟道上提供第一硅酸盐层。在步骤124中提供的硅酸盐层具有不超过2纳米的总厚度。在一些实施例中,硅酸盐层的厚度为至少0.1nm而不大于1纳米。由于方法120利用多个硅酸盐层,因此在步骤124中提供的层的厚度可处于此范围的下端上。在步骤124中提供的第一硅酸盐层中所使用的材料可选自各种硅酸盐。举例来说,硅酸盐层可包括以下中的一者或多者:LuSiOx,YSiOx,LaSiOx,BaSiOx,SrSiOx,AlSiOx,TiSiOx,HfSiOx,ZrSiOx,TaSiOx,ScSiOx,MgSiOx,LuSiOx,YSiOx,LaSiOx,BaSiOx,SrSiOx,AlSiOx,TiSiOx,HfSiOx,ZrSiOx,TaSiOx,ScSiOx及MgSiOx。另外,每一个硅酸盐层中的硅含量可有所变化以控制阈值电压的最大改变。举例来说,硅含量可介于0到不大于70原子百分比的范围内。所选择的材料取决于采用以上参照方法100论述的方式形成的装置的所期望电压改变的符号及导电类型。
图4绘示执行步骤124之后的半导体装置250。正在制作组件292、294及296且组件292、294、296可各自为晶体管。图中示出下伏的半导体252。图中还绘示了界面氧化物254。已提供了第一硅酸盐层262且第一硅酸盐层262被示出为位于晶体管(即,组件292、294、296)上。
由于期望晶体管(即,组件292、294、296)具有不同的阈值电压,因此通过步骤126从一些组件选择性地移除第一硅酸盐层262。步骤126包括提供掩模来覆盖期望保留第一硅酸盐层262的区。接着从暴露的区移除第一硅酸盐层且移除掩模。图5绘示执行步骤126之后的半导体装置250。第一硅酸盐层262仍余留在组件292及组件294上,但已被从组件296移除。
通过步骤128,在沟道上提供第二硅酸盐层。第二硅酸盐层的材料及厚度是以与用于第一硅酸盐层262的材料及厚度类似的方式进行选择。在一些实施例中,使用相同的材料及厚度。在其他实施例中,材料及厚度中的一者或两者可有所不同。图6绘示执行步骤128之后的半导体装置250。因此,已在晶体管(即,组件292、294、296)上提供了第二硅酸盐层264。
通过步骤130,可可选地重复移除步骤126及硅酸盐层沉积步骤128。因此,具有期望厚度的硅酸盐层会累积在晶体管(即,组件292、294、296)上。这使得能够为半导体装置250设定各种阈值电压。另外,尽管在本文中阐述了沉积/掩模/移除步骤,然而,在替代实施例中,这些步骤可被掩模/沉积步骤取代以使得硅酸盐层选择性地沉积在半导体装置250的期望区上。
图7绘示在已完成步骤130的一个迭代(iteration)之后的半导体装置250。图6与图7的比较表明第二硅酸盐层264已被从组件292及组件296移除。因此,每一个组件292、294、294具有不同厚度的硅酸盐层。组件296不具有硅酸盐层(例如,零厚度),组件292具有较薄的硅酸盐层262且组件294具有较厚的硅酸盐层262/264。图8绘示在已完成步骤130的另一个迭代之后的半导体装置250。因此,已在组件292、294、296中的每一者上提供了硅酸盐层266。阈值电压的改变是基于晶体管的硅酸盐层的厚度而定。因此,组件296具有最小的量值改变(薄硅酸盐层266)。组件292具有中间量值改变(由第一硅酸盐层262及第三硅酸盐层266形成的硅酸盐层262/266较厚)。组件294具有最大的量值改变(由第一硅酸盐层262、第二硅酸盐层264及第三硅酸盐层266形成的硅酸盐层262/264/266最厚)。步骤124、步骤126、步骤128及步骤130类似于方法100的步骤102。
通过步骤132,在之前形成的硅酸盐层上提供高介电常数层。举例来说,在步骤132中可形成一层HfOx。在一些实施例中,高介电常数层的形成可在两百摄氏度且不大于六百摄氏度的温度下执行。在一些实施例中,高介电常数层的形成可在不超过三百摄氏度的温度下进行。图9绘示在步骤132之后的半导体装置250。因此,高介电常数(HK)层270被示出为位于晶体管(即,组件292、294、296)上。
通过步骤134,在高介电常数层上提供逸出功金属层。举例来说,逸出功金属层可包含以下中的至少一者:TiN、TaN、TiSiN、TiTaN、WN及TiTaSiN。在其他实施例中可使用其他金属。逸出功金属层也相对薄。举例来说,逸出功金属层的厚度不大于3纳米。图10绘示执行步骤134之后的半导体装置250。因此,在晶体管(即,组件292、294、296)上已形成了逸出功金属(WFM)层280。
通过步骤136,提供牺牲活性金属层。活性金属层可包含Si、Ti、Zr、Hf及La中的一者或多者且可具有不大于4纳米的厚度。也可使用其他材料及其他厚度。这种金属可在退火期间使用以对最终阈值电压进行附加控制。换句话说,在步骤136中提供的活性金属层提供对阈值电压改变的精细控制。图11绘示执行步骤136之后的多阈值电压半导体装置250。因此,已提供了活性金属层(reactive metal layer,RM)285。在所示出的实施例中,活性金属层285位于所有晶体管(即,组件292、294、296)上。在其他实施例中,可从一些晶体管或所有晶体管(即,组件292、294、296)上省略活性金属层285。
通过步骤138,执行低温退火。可使用低温退火来使硅酸盐层262/264/266与高介电常数层270的混合均质化。步骤138可包括以至少两百摄氏度且不大于八百摄氏度的退火温度来执行退火。在一些实施例中,最大退火温度不大于六百摄氏度。在一些这种实施例中,退火温度为至少四百摄氏度。
除了上述有益效果之外,在步骤138中执行的退火还可因使用活性金属层285而改善对晶体管(即,组件292、294、296)的阈值电压的偶极子调制。活性金属是吸氧剂(oxygengetter)以使这种材料能够在退火期间将氧原子从下伏的含氧层清除出来。氧原子可接着在包括逸出功金属层280在内的各个层中重新分配。此会引起对高介电常数层/逸出功金属层界面、高介电常数层/硅酸盐层界面及硅酸盐层/原生氧化物SiOx界面周围的氧相关偶极子(oxygen related dipoles)进行的调制。界面氧化物层的厚度也可能发生改变。调制的程度随着逸出功金属层280的厚度、活性金属层285的厚度及退火温度的变化而变化。结果,可在数十毫伏范围内进一步调制阈值电压。这种清除现象即使在近似500摄氏度到近似600摄氏度的中间温度和/或低温下仍可发生。换句话说,清除可在步骤138的退火中使用的温度下发生。因此,晶体管(即,组件292、294、296)的阈值电压可因硅酸盐层262/264/266的存在及活性金属层285的使用二者而发生改变。
通过步骤140,移除活性金属层285。图12绘示在移除活性金属层285之后的半导体装置250。通过步骤142,接着在逸出功金属层上提供接触金属层。在一些实施例中,接触金属层可为W或Co。然而,在其他实施例中可使用其他金属。图13绘示执行步骤142之后的半导体装置250。因此,已为正在形成的组件292、294、296中的每一者提供了接触金属层290。接着便可完成半导体装置250的制作。
方法120与半导体装置250可共享方法100及半导体装置200的有益效果。利用方法120,可分别对晶体管(即,组件292、294、296)的阈值电压进行微调以提供多阈值电压半导体装置250。逸出功金属层280为晶体管(即,组件292、294、296)中的每一者设定基准阈值电压。利用硅酸盐层262/264/266形成的偶极子可根据硅酸盐层262/264/266的厚度及所使用的材料来上下改变基准阈值电压。假设对于所有组件使用相同的硅酸盐,则组件294具有最大的改变。组件292具有较小的改变。组件296具有最小的改变。在低温退火期间使用牺牲活性金属层可提供对阈值电压的精细调整。因此,可提供多阈值电压半导体装置250。
半导体装置250及方法120适用于明显较小的装置。所使用的堆叠的厚度可显著降低,同时仍实现各个晶体管之间阈值电压的明显变化。方法120可因此用于较小的装置而不考虑堆叠是否合并。在工艺120中不需要使用例如Al等材料,此可避免与铝相关的温度问题。因此,方法120可提供可被按比例缩放到明显较小的大小的多阈值电压装置250。
已阐述了用于提供多阈值电压半导体装置的方法及系统。所述方法及系统已根据所示出的示例性实施例进行了阐述,且所属领域中的一般技术人员将容易地认识到可存在实施例的变化,且任何变化均将处于所述方法及系统的精神及范围内。因此,在不背离所附权利要求书的精神及范围的条件下,所属领域中的一般技术人员可作出许多修改。

Claims (20)

1.一种为半导体装置的多个组件提供栅极结构的方法,其特征在于,所述方法包括:
提供硅酸盐层;
在所述硅酸盐层上提供高介电常数层;
在所述高介电常数层上提供逸出功金属层;
在所述提供所述高介电常数层的步骤之后,执行低温退火;以及
在所述逸出功金属层上提供接触金属层。
2.根据权利要求1所述的方法,其特征在于,所述多个组件的第一部分具有第一厚度的所述硅酸盐层,且其中所述多个组件的第二部分具有第二厚度的所述硅酸盐层,所述第二厚度不同于所述第一厚度,所述提供所述硅酸盐层的步骤还包括:
在所述多个组件的至少所述第一部分及所述第二部分上沉积第一硅酸盐层;
从所述多个组件的所述第一部分移除所述第一硅酸盐层的至少一部分;以及
在所述多个组件的所述第一部分及所述第二部分上提供第二硅酸盐层。
3.根据权利要求2所述的方法,其特征在于,所述第一厚度及所述第二厚度各自小于2纳米。
4.根据权利要求3所述的方法,其特征在于,所述第一厚度及所述第二厚度各自不大于1纳米且至少0.1纳米。
5.根据权利要求2所述的方法,其特征在于,所述第一硅酸盐层及所述第二硅酸盐层中的每一者包含以下中的至少一者:LuSiOx,YSiOx,LaSiOx,BaSiOx,SrSiOx,AlSiOx,TiSiOx,HfSiOx,ZrSiOx,TaSiOx,ScSiOx,MgSiOx,LuSiOx,YSiOx,LaSiOx,BaSiOx,SrSiOx,AlSiOx,TiSiOx,HfSiOx,ZrSiOx,TaSiOx,ScSiOx及MgSiOx。
6.根据权利要求2所述的方法,其特征在于,所述多个组件的第三部分具有第三厚度的所述硅酸盐层,所述第三厚度不同于所述第一厚度且不同于所述第二厚度,所述提供所述硅酸盐层的步骤还包括:
在所述多个组件的至少所述第一部分、所述第二部分及所述第三部分上沉积第三硅酸盐层;以及
从所述多个组件的所述第一部分及所述第二部分移除所述第三硅酸盐层的至少一部分,
其中所述沉积所述第一硅酸盐层的步骤包括在所述多个组件的所述第三部分上沉积所述第一硅酸盐层,
其中所述从所述多个组件的所述第一部分移除所述第一硅酸盐层的所述至少一部分的步骤被执行成使所述第一硅酸盐层余留在所述多个组件的所述第三部分上,且
其中所述在所述多个组件的所述第一部分及所述第二部分上提供所述第二硅酸盐层的步骤还在所述多个组件的所述第三部分上提供所述第二硅酸盐层。
7.根据权利要求2所述的方法,其特征在于,还包括:
在所述提供所述接触金属层的步骤之前且在所述执行所述低温退火的步骤之前,在所述逸出功金属层上提供活性金属层,所述执行所述低温退火的步骤是在所述提供所述接触金属层的步骤之前执行;以及
在所述执行所述低温退火的步骤之后且在所述提供所述接触金属层的步骤之前,移除所述活性金属层。
8.根据权利要求7所述的方法,其特征在于,所述活性金属层包含Si、Ti、Zr、Hf及La中的至少一者,所述活性金属层具有不大于4纳米的厚度。
9.根据权利要求7所述的方法,其特征在于,在所述低温退火期间,所述活性金属层驻留在所述多个组件的仅一部分上,所述提供所述活性金属层的步骤包括:
沉积包含期望的活性金属的层;以及
移除所述层的一部分,以使所述活性金属层余留在所述多个组件的所述一部分上。
10.根据权利要求2所述的方法,其特征在于,所述逸出功金属层包含TiN、TaN、TiSiN、TiTaN、WN及TiTaSiN中的至少一者,所述逸出功金属层具有不大于3纳米的厚度。
11.根据权利要求2所述的方法,其特征在于,所述低温退火具有不大于六百摄氏度的退火温度。
12.根据权利要求1所述的方法,其特征在于,所述高介电常数层具有大于二氧化硅介电常数的介电常数。
13.根据权利要求12所述的方法,其特征在于,所述高介电常数层包括氧化铪。
14.根据权利要求1所述的方法,其特征在于,还包括:
在所述提供所述硅酸盐层的步骤之前,提供界面氧化物层。
15.一种在半导体装置上提供多个晶体管的方法,其特征在于,所述方法包括:
提供所述多个晶体管中的每一晶体管的源极及漏极,且提供所述多个晶体管中的每一晶体管的沟道,所述沟道位于所述源极与所述漏极之间;以及
在所述多个晶体管中的每一晶体管的所述沟道上提供栅极结构,所述提供所述栅极结构的步骤包括:
在至少所述沟道上提供界面氧化物层;
在所述界面氧化物层上提供硅酸盐层,所述多个晶体管的第一部分具有第一厚度的所述硅酸盐层,所述多个晶体管的第二部分具第二厚度的有所述硅酸盐层,所述第二厚度不同于所述第一厚度,所述提供所述硅酸盐层的步骤包括:
在所述多个晶体管的所述第一部分及所述第二部分上沉积第一硅酸盐层;
从所述多个晶体管的所述第一部分移除所述第一硅酸盐层的至少一部分;
在所述多个晶体管的所述第一部分及所述第二部分上提供第二硅酸盐层,所述第一硅酸盐层及所述第二硅酸盐层中的每一者包含以下中的至少一者:LuSiOx,YSiOx,LaSiOx,BaSiOx,SrSiOx,AlSiOx,TiSiOx,HfSiOx,ZrSiOx,TaSiOx,ScSiOx,MgSiOx,LuSiOx,YSiOx,LaSiOx,BaSiOx,SrSiOx,AlSiOx,TiSiOx,HfSiOx,ZrSiOx,TaSiOx,ScSiOx及MgSiOx;
在所述硅酸盐层上提供高介电常数层;
在所述高介电常数层上提供逸出功金属层,所述逸出功金属层包含TiN、TaN、TiSiN、TiTaN、WN及TiTaSiN中的至少一者,所述逸出功金属层具有不大于3纳米的厚度;
在所述逸出功金属层的至少一部分上提供活性金属层,所述活性金属层包含Si、Ti、Zr、Hf及La中的至少一者;
在所述提供所述活性金属层的步骤之后执行低温退火,所述低温退火具有至少二百摄氏度且不高于六百摄氏度的退火温度;
在所述执行所述低温退火的步骤之后移除所述活性金属层;以及
在所述移除所述活性金属层的步骤之后,在所述逸出功金属层上提供接触金属层。
16.一种半导体装置,其特征在于,包括:
衬底;以及
位于所述衬底上的多个组件,所述多个组件中的每一者包括源极、漏极、沟道及栅极结构,所述沟道位于所述源极与所述漏极之间,所述栅极结构邻近所述沟道,所述栅极结构包括硅酸盐层、高介电常数层、逸出功金属层及接触金属层,所述高介电常数层位于所述硅酸盐层与所述逸出功金属层之间,所述逸出功金属层位于所述高介电常数层与所述接触金属层之间,所述硅酸盐层比所述高介电常数层更靠近所述衬底,所述半导体装置在所述栅极结构的形成期间及所述栅极结构的所述形成之后只暴露到低于一千摄氏度的温度,所述多个组件具有多个阈值电压。
17.根据权利要求16所述的半导体装置,其特征在于,所述多个组件的第一部分具有第一厚度的所述硅酸盐层,且所述多个组件的第二部分具有第二厚度的所述硅酸盐层,所述第二厚度不同于所述第一厚度。
18.根据权利要求17所述的半导体装置,其特征在于,所述硅酸盐层包含以下中的至少一者:LuSiOx,YSiOx,LaSiOx,BaSiOx,SrSiOx,AlSiOx,TiSiOx,HfSiOx,ZrSiOx,TaSiOx,ScSiOx,MgSiOx,LuSiOx,YSiOx,LaSiOx,BaSiOx,SrSiOx,AlSiOx,TiSiOx,HfSiOx,ZrSiOx,TaSiOx,ScSiOx及MgSiOx。
19.根据权利要求16所述的半导体装置,其特征在于,所述多个组件中的所述每一者是栅极环绕场效应晶体管。
20.根据权利要求16所述的半导体装置,其特征在于,所述多个组件中的所述每一者是替换金属栅极场效应晶体管。
CN201811221556.8A 2017-10-20 2018-10-19 半导体装置及为其的多个组件提供栅极结构的方法 Pending CN109698165A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762575357P 2017-10-20 2017-10-20
US62/575,357 2017-10-20
US15/898,420 US10446400B2 (en) 2017-10-20 2018-02-16 Method of forming multi-threshold voltage devices and devices so formed
US15/898,420 2018-02-16

Publications (1)

Publication Number Publication Date
CN109698165A true CN109698165A (zh) 2019-04-30

Family

ID=66171138

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811221556.8A Pending CN109698165A (zh) 2017-10-20 2018-10-19 半导体装置及为其的多个组件提供栅极结构的方法

Country Status (4)

Country Link
US (2) US10446400B2 (zh)
KR (1) KR102452734B1 (zh)
CN (1) CN109698165A (zh)
TW (1) TWI675408B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10446400B2 (en) * 2017-10-20 2019-10-15 Samsung Electronics Co., Ltd. Method of forming multi-threshold voltage devices and devices so formed
US20210118874A1 (en) * 2019-10-21 2021-04-22 Nanya Technology Corporation Semiconductor device and method for fabricating the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120129330A1 (en) * 2010-11-22 2012-05-24 Weonhong Kim Semiconductor devices employing high-k dielectric layers as a gate insulating layer and methods of fabricating the same
US20120238086A1 (en) * 2011-03-17 2012-09-20 Globalfoundries Inc. Reducing equivalent thickness of high-k dielectrics in field effect transistors by performing a low temperature anneal
CN104143535A (zh) * 2014-08-11 2014-11-12 矽力杰半导体技术(杭州)有限公司 Cmos结构的制造方法
CN104241291A (zh) * 2013-06-21 2014-12-24 台湾积体电路制造股份有限公司 嵌入式存储器及其形成方法
CN106549017A (zh) * 2015-09-21 2017-03-29 三星电子株式会社 集成电路装置及其制造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6846734B2 (en) 2002-11-20 2005-01-25 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
KR100597642B1 (ko) * 2004-07-30 2006-07-05 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조방법
US20060151846A1 (en) * 2005-01-13 2006-07-13 International Business Machines Corporation Method of forming HfSiN metal for n-FET applications
US7718496B2 (en) 2007-10-30 2010-05-18 International Business Machines Corporation Techniques for enabling multiple Vt devices using high-K metal gate stacks
US8324090B2 (en) * 2008-08-28 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve dielectric quality in high-k metal gate technology
US8110467B2 (en) 2009-04-21 2012-02-07 International Business Machines Corporation Multiple Vt field-effect transistor devices
US7855105B1 (en) 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
US9478637B2 (en) * 2009-07-15 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Scaling EOT by eliminating interfacial layers from high-K/metal gates of MOS devices
US8309447B2 (en) 2010-08-12 2012-11-13 International Business Machines Corporation Method for integrating multiple threshold voltage devices for CMOS
DE102010040064B4 (de) 2010-08-31 2012-04-05 Globalfoundries Inc. Verringerte Schwellwertspannungs-Breitenabhängigkeit in Transistoren, die Metallgateelektrodenstrukturen mit großem ε aufweisen
US8680625B2 (en) * 2010-10-15 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Facet-free semiconductor device
US8772149B2 (en) 2011-10-19 2014-07-08 International Business Machines Corporation FinFET structure and method to adjust threshold voltage in a FinFET structure
US8877623B2 (en) * 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US9136177B2 (en) 2012-07-30 2015-09-15 Globalfoundries Inc. Methods of forming transistor devices with high-k insulation layers and the resulting devices
US9059315B2 (en) * 2013-01-02 2015-06-16 International Business Machines Corporation Concurrently forming nFET and pFET gate dielectric layers
US9666717B2 (en) 2014-03-18 2017-05-30 Global Foundries, Inc. Split well zero threshold voltage field effect transistor for integrated circuits
US9837416B2 (en) 2015-07-31 2017-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Multi-threshold voltage field effect transistor and manufacturing method thereof
US9947540B2 (en) 2015-07-31 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Pre-deposition treatment and atomic layer deposition (ALD) process and structures formed thereby
US10446400B2 (en) * 2017-10-20 2019-10-15 Samsung Electronics Co., Ltd. Method of forming multi-threshold voltage devices and devices so formed

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120129330A1 (en) * 2010-11-22 2012-05-24 Weonhong Kim Semiconductor devices employing high-k dielectric layers as a gate insulating layer and methods of fabricating the same
US20120238086A1 (en) * 2011-03-17 2012-09-20 Globalfoundries Inc. Reducing equivalent thickness of high-k dielectrics in field effect transistors by performing a low temperature anneal
CN104241291A (zh) * 2013-06-21 2014-12-24 台湾积体电路制造股份有限公司 嵌入式存储器及其形成方法
CN104143535A (zh) * 2014-08-11 2014-11-12 矽力杰半导体技术(杭州)有限公司 Cmos结构的制造方法
CN106549017A (zh) * 2015-09-21 2017-03-29 三星电子株式会社 集成电路装置及其制造方法

Also Published As

Publication number Publication date
US11476121B2 (en) 2022-10-18
TW201917781A (zh) 2019-05-01
KR102452734B1 (ko) 2022-10-06
US10446400B2 (en) 2019-10-15
KR20190044485A (ko) 2019-04-30
US20190385856A1 (en) 2019-12-19
TWI675408B (zh) 2019-10-21
US20190122891A1 (en) 2019-04-25

Similar Documents

Publication Publication Date Title
US11069576B2 (en) Method of forming multi-threshold voltage devices using dipole-high dielectric constant combinations and devices so formed
TWI657492B (zh) 形成具有較厚閘極堆疊之奈米片狀電晶體裝置之方法及產生裝置
TWI536544B (zh) 形成具有多重功函數閘極結構之方法及所產生之產品
TWI591772B (zh) 具有變化之閘極結構的積體電路及製造方法
JP5294605B2 (ja) 非対称マルチゲート型トランジスタ及び形成方法
US8951870B2 (en) Forming strained and relaxed silicon and silicon germanium fins on the same wafer
TWI596650B (zh) 具有矽鍺量子井之高遷移率pmos及nmos裝置
Bao et al. Multiple-Vt solutions in nanosheet technology for high performance and low power applications
US10347494B2 (en) Devices with multiple threshold voltages formed on a single wafer using strain in the high-k layer
CN102341906A (zh) 通过减少非均匀性沉积的包括沟道半导体合金的晶体管中的阈值电压变异的减少
CN109698165A (zh) 半导体装置及为其的多个组件提供栅极结构的方法
CN104078341A (zh) 形成半导体装置的栅极电极的方法、半导体装置用的栅极电极结构及相符的半导体装置结构
US8846476B2 (en) Methods of forming multiple N-type semiconductor devices with different threshold voltages on a semiconductor substrate
US8836037B2 (en) Structure and method to form input/output devices
CN103779227A (zh) 鳍型场效应晶体管的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination