CN109216348A - 改进光学邻近修正模型的方法和制造半导体装置的方法 - Google Patents

改进光学邻近修正模型的方法和制造半导体装置的方法 Download PDF

Info

Publication number
CN109216348A
CN109216348A CN201810697532.3A CN201810697532A CN109216348A CN 109216348 A CN109216348 A CN 109216348A CN 201810697532 A CN201810697532 A CN 201810697532A CN 109216348 A CN109216348 A CN 109216348A
Authority
CN
China
Prior art keywords
pattern
pixel
domain
optical proximity
proximity correction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810697532.3A
Other languages
English (en)
Other versions
CN109216348B (zh
Inventor
郑文奎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109216348A publication Critical patent/CN109216348A/zh
Application granted granted Critical
Publication of CN109216348B publication Critical patent/CN109216348B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明提供一种制造半导体装置的方法和产生光学邻近修正(OPC)模型的方法。制造半导体装置的方法包括:设计版图;执行OPC处理,以修正设计的版图;利用修正后的版图制造第一光掩膜;以及利用第一光掩膜在衬底上形成图案。OPC处理包括产生OPC模型和利用产生的OPC模型修正设计的版图。产生OPC模型的步骤包括:将实际图案的平面图像栅格化,以获得第一标签数据;将仿真图案的仿真图像栅格化,以获得第二标签数据,所述仿真图案是利用其中设置了包括工艺参数的参数集的OPC模型获得的;将第一标签数据与第二标签数据进行比较,以获得比较数据;以及基于比较数据修正参数集的工艺参数。

Description

改进光学邻近修正模型的方法和制造半导体装置的方法
相关申请的交叉引用
本申请要求于2017年6月30日在韩国知识产权局提交的韩国专利申请No.10-2017-0083815的优先权,该申请的全部内容以引用方式并入本文中。
技术领域
本公开涉及制造半导体装置的方法,具体地说,涉及利用优化和/或改进的光学邻近修正(OPC)模型制造半导体装置的方法。
背景技术
半导体装置由于其尺寸小、多功能用途和/或低成本特性成为电子工业中的重要元件。例如,半导体装置可以分为用于存储数据的存储器装置、用于处理数据的逻辑装置和包括存储器和逻辑元件二者的混合装置。为了满足对具有更快速度和/或更低功耗的电子装置的日益增长的需求,需要具有高可靠性、高性能和/或多功能的半导体装置。为了满足这一需求,半导体装置的复杂性和/或集成密度正在增加,导致用于半导体装置的更小的制造设计和图案。
然而,在具有复杂掩膜图案或具有急剧变化的尺寸和线宽的图案的制造设计中,用于将设计转印至半导体晶圆的平板印刷工艺可受到邻近图案中的光的衍射的影响。结果,转印的图案的布局可与设计的版图不同。为了避免这种现象,当通过使用OPC处理设计掩膜时可有意地使区域畸变。然而,常规OPC处理可为低效的和/或不能充分补偿衍射光。
发明内容
本发明构思的一些实施例提供了优化和/或改进OPC模型的方法,并且因此提高了OPC模型的可靠性。
本发明构思的一些实施例提供了利用优化和/或改进的OPC模型制造半导体装置的方法。
根据本发明构思的一些实施例,一种制造半导体装置的方法可包括以下步骤:设计版图;执行OPC处理,以修正设计的版图;利用修正后的版图制造第一光掩膜;以及利用第一光掩膜在衬底上形成图案。OPC处理可包括产生OPC模型和利用产生的OPC模型修正设计的版图。产生OPC模型的步骤可包括:将实际图案的平面图像栅格化,以获得第一标签数据;将仿真图案的仿真图像栅格化,以获得第二标签数据,所述仿真图案是利用其中设置了包括工艺参数的参数集的OPC模型获得的;将第一标签数据与第二标签数据进行比较,以获得比较数据;以及基于比较数据修正参数集的工艺参数。
根据本发明构思的一些实施例,一种制造半导体装置的方法可包括:产生OPC模型;以及利用产生的OPC模型对设计的版图执行OPC处理。产生OPC模型的步骤可包括:将实际图案的平面图像划分为第一多个像素,平面图像的所述第一多个像素包括与实际图案重叠的第一像素;将仿真图案的仿真图像划分为第二多个像素,所述仿真图案是利用OPC模型获得的,仿真图像的第二多个像素包括与仿真图案重叠的第二像素;将第一像素与第二像素进行比较,以获得比较数据;以及基于比较数据修正OPC模型的参数集。
根据本发明构思的一些实施例,一种产生OPC模型的方法可包括以下步骤:利用包括测试图案的测试版图在衬底上形成实际图案;将实际图案的平面图像划分为第一多个像素;基于实际图案的第一轮廓对平面图像的第一多个像素进行标记,以获得第一标签数据;利用OPC模型对测试版图进行仿真,以获得仿真图像;将仿真图像划分为第二多个像素;基于仿真图像中的图案的第二轮廓对仿真图像的第二多个像素进行标记,以获得第二标签数据;将第一标签数据与第二标签数据进行比较,以获得比较数据;以及基于比较数据修正OPC模型的参数集。
附图说明
通过下面结合附图的简单描述,将更清楚地理解本发明构思的示例实施例。附图代表本文所述的非限制性示例实施例。
图1是示出根据本发明构思的一些实施例的用于执行半导体设计处理的计算机系统的框图;
图2是示出根据本发明构思的一些实施例的设计和制造半导体装置的方法的流程图;
图3是示出其中使用了根据本发明构思的一些实施例的光掩膜的光刻系统的示意图;
图4是示出根据本发明构思的一些实施例的版图的图;
图5是示出将图4的设计的版图的轮廓划分为多个区段的处理的图,其可作为OPC步骤的一部分执行;
图6是示出通过图5的OPC步骤修正的版图的图;
图7是示出基于图6的修正后的版图制造的光掩膜的图;
图8是示出利用图7的光掩膜将电路图案印刷在衬底上的工艺的图;
图9是示出根据本发明构思的一些实施例的OPC方法的流程图;
图10是示出图9所示的OPC模型的改进步骤的详细示例的流程图;
图11是示出根据本发明构思的一些实施例的测试版图的平面图;
图12是示出利用图11的测试版图形成在衬底上的图案的形状的平面图;
图13是示出图12的图案的垂直剖面的剖视图;
图14是图12的平面图像的栅格化图像;
图15是示出通过利用OPC模型仿真图11的测试版图获得的仿真图像的平面图;
图16是图15的仿真图像的栅格化图像;
图17是示出图14与图16的栅格化图像之间的比较的示例的图;
图18是示出利用其中设置了新参数集的OPC模型的仿真结果及其栅格化图像的图;
图19是示出图14与图18的栅格化图像之间的比较的示例的图;
图20是示出图12的图案的垂直剖面的另一示例的剖视图;
图21是示出图14与图16的栅格化图像之间的比较的另一示例的图;
图22是示出对图14的栅格化图像中的一个像素二次采样获得的结果的图;
图23是示出针对半导体装置的第一互连层设计的版图的平面图;
图24是示出通过对图23的设计的版图执行根据本发明构思的一些实施例的OPC方法获得的结果的平面图;
图25、图27、图29和图31是示出根据本发明构思的一些实施例的制造半导体装置的方法的平面图;
图26A、图28A、图30A和图32A分别是沿着图25、图27、图29和图31的线A-A′截取的剖视图;
图26B、图28B、图30B和图32B分别是沿着图25、图27、图29和图31的线B-B′截取的剖视图;
图28C、图30C和图32C分别是沿着图27、图29和图31的线C-C′截取的剖视图。
应该注意,这些附图旨在示出在某些示例实施例中利用的方法、结构和/或材料的一般特征以及补充下面提供的书面描述。然而,这些附图不一定按照比例,并且可不准确反映任何给出的实施例的准确结构或性能特征,并且不应被解释为局限或限制本发明构思的示例实施例涵盖的值或特性的范围。例如,为了清楚起见,可缩小或夸大分子、层、区和/或结构性元件的相对厚度和定位。在各个附图中使用相似或相同的标号旨在指示存在相似或相同的元件或特征。
具体实施方式
现在,将参照其中示出示例实施例的附图更完全地描述本发明构思的示例实施例。
图1是示出用于执行根据本发明构思的一些实施例的半导体设计处理的计算机系统的框图。参照图1,计算机系统可包括中央处理单元(CPU)10、工作存储器30、输入输出装置50和辅助存储器装置70。在一些实施例中,计算机系统可为用于执行根据本发明构思的一些实施例的版图设计处理的定制系统。此外,计算机系统可被构造为执行各种设计和检查仿真程序。
CPU 10可被构造为运行诸如应用程序、操作系统和/或装置驱动程序的各种软件程序。例如,CPU 10可被构造为运行加载于工作存储器30上的操作系统(未示出)。此外,CPU10可被构造为运行操作系统上的各种应用程序。例如,CPU 10可被构造为运行加载于工作存储器30上的版图设计工具32和/或OPC工具34。
可将操作系统或应用程序加载于工作存储器30上。例如,当计算机系统开始启动操作时,可根据启动次序将存储在辅助存储器装置70中的操作系统图像(未示出)加载于工作存储器30上。在计算机系统中,整体输入/输出操作可由操作系统管理。可将可由用户选择和/或针对基本服务提供的一些应用程序加载于工作存储器30上。根据本发明构思的一些实施例,可从辅助存储器装置70将版图设计工具32和/或OPC工具34加载于工作存储器30上。
版图设计工具32可提供用于改变特定版图图案的偏置数据的功能。例如,版图设计工具32可被构造为允许特定版图图案具有与设计规则定义的形状和位置不同的形状和位置。版图设计工具32可被构造为在偏置数据改变的条件下执行设计规则检查(DRC)。OPC工具34可被构造为对由版图设计工具32获得的版图数据执行OPC处理。工作存储器30可包括一个或多个易失性存储器装置(例如,静态随机存取存储器(SRAM)和/或动态随机存取存储器(DRAM)装置)和/或非易失性存储器装置(例如,相变随机存取存储器(PRAM)、磁阻随机存取存储器(MRAM)、电阻随机存取存储器(ReRAM)、铁电随机存取存储器(FRAM)和/或NOR闪速存储器装置)。
输入输出装置50可被构造为控制用户接口装置的用户输入和输出操作。例如,输入输出装置50可包括键盘或监视器,允许设计者输入相关信息。通过使用输入输出装置50,设计者可接收关于半导体装置的若干个区或数据路径的信息,经调整的操作特性将应用于所述若干个区或数据路径。输入输出装置50可被构造为显示OPC工具34的进度状态或处理结果。
辅助存储器装置70可用作用于计算机系统的存储介质。辅助存储器装置70可被构造为存储应用程序、操作系统图像和各种数据。可按照存储卡(例如,多媒体卡(MMC)、嵌入式MMC(eMMC)、安全数字卡(SD)、MicroSD等)中的一种或硬盘驱动(HDD)的形式提供辅助存储器装置70。辅助存储器装置70可包括具有大存储容量的NAND闪速存储器装置。在一些实施例中,辅助存储器装置70可包括下一代非易失性存储器装置中的至少一个(例如,PRAM、MRAM、ReRAM或FRAM)或NOR闪速存储器装置。
系统互连器90可用作用于在计算机系统中实现网络的系统总线。CPU 10、工作存储器30、输入输出装置50和辅助存储器装置70可通过系统互连器90彼此连接,因此,可在它们之间交换数据。然而,系统互连器90可不限于先前描述的构造。例如,其还可包括用于在数据通信中提高效率的一个或多个额外元件。
图2是示出根据本发明构思的一些实施例的设计和制造半导体装置的方法的流程图。
参照图2,可利用参照图1描述的计算机系统执行半导体集成电路的高级设计处理(S10)。例如,在高级设计处理中,可用高级计算机语言(例如,C语言、超高速集成电路硬件描述语言(VHDL)、Verilog等)来描述要设计的集成电路。由高级设计处理设计的电路可通过寄存器传输级(RTL)编码或仿真来更具体地描述。此外,由RTL编码生成的代码可被转换成网表,并且结果可彼此组合以完整地描述半导体装置。组合的示意电路可由仿真工具验证。在特定实施例中,可基于验证步骤的结果进一步执行调整步骤。
可执行版图设计处理,以实现半导体集成电路在硅晶圆上的逻辑完整形式(S20)。例如,可基于在高级设计处理或相应的网表中准备的示意电路来执行版图设计处理。版图设计处理可包括基于预定设计规则来布置和连接从单元库提供的各种标准单元的布线步骤。
单元库可包含关于单元的操作、速度和功耗的信息。在特定实施例中,可以在版图设计工具中或通过版图设计工具定义用于表示门级电路的版图的单元库。这里,可以准备版图来定义或描述图案的形状、位置和/或尺寸,所述图案构成随后可形成在硅晶圆上的晶体管和金属互连线。例如,在硅晶圆上形成反相电路可包括为图案(例如,其上的PMOS、NMOS、N阱、栅电极和/或金属互连线)准备或绘制版图。为此,可以选择在单元库中定义的至少一个或多个反相器。
此外,可执行将所选单元彼此连接的布线步骤。详细地,可对所选和布置的标准单元执行布线步骤,以将它们连接到上互连线。这些步骤可在版图设计工具中自动或手动执行。在某些实施例中,可由布局布线工具自动执行对标准单元进行布局并建立布线结构的步骤。
在布线步骤之后,可以对所述版图执行验证步骤,以检查是否存在违反给定设计规则的部分。在一些实施例中,验证步骤可包括评估验证项,例如设计规则检查(DRC)、电气规则检查(ECC)和/或版图与原理图一致性检查(LVS)。可对DRC项进行评估,以评估版图是否满足给定的设计规则。可对ERC项进行评估,以评估版图中是否存在电气断开的问题。可对LVS项进行评估,以评估准备的版图是否与门级网表一致。
可执行光学邻近修正(OPC)步骤(S30)。可执行OPC步骤以修正光学邻近效应,当使用基于版图制造的光掩膜在硅晶圆上执行光刻工艺时,可发生光学邻近效应。光学邻近效应可为使用基于版图制造的光掩膜在曝光过程中可出现的非预期光学效应(例如折射或衍射)。在OPC步骤中,可修改版图,以使得设计图案和实际形成的图案之间的可由光学邻近效应引起的形状差异减小。作为OPC步骤的结果,可以改变或偏置图案在设计的版图中的形状和位置。将参照图3至图8更详细地描述OPC步骤。
可基于通过OPC步骤修改的版图制造光掩膜(S40)。在一些实施例中,可以通过使用版图图案数据对设置在玻璃衬底上的铬层进行图案化来制造光掩膜。
制造的光掩膜可用于制造半导体装置(S50)。在实际的制造工艺中,可重复执行曝光和蚀刻步骤,因此,可以在半导体衬底上按次序形成在版图设计处理中定义的图案。
图3是示出其中使用了根据本发明构思的一些实施例的光掩膜的光刻系统的示意图。光刻系统1000可包括光源1200、光掩膜1400、缩小投影设备1600和衬底台1800。虽然在图3中未示出,但是可以在光刻系统1000中提供一些附加元件。例如,光刻系统1000还可包括用于测量衬底WF的顶表面的高度和斜率的传感器。
光源1200可被构造为发光。从光源1200发出的光可入射到光掩膜1400上。为了控制焦距,可以在光源1200与光掩膜1400之间设置透镜。光源1200可被构造为发出紫外光。例如,光源1200可为KrF光源(234nm)、ArF光源(193nm)等。光源1200可包括单点光源P1,但是本发明构思不限于此。在特定实施例中,光源1200可被构造为具有多个点光源。
光掩膜1400可包括用于将设计的版图转录或印刷至衬底WF上的图像图案。所述图像图案可以基于通过上述版图设计和OPC步骤准备的版图图案来形成。图像图案可以由形成在光掩膜1400上的透明区和不透明区限定。透明区可通过对设置在光掩膜1400上的金属层(例如,铬层)进行蚀刻来形成。透明区可被构造为允许从光源1200入射的光朝着衬底WF传播。相比之下,不透明区可被构造为防止光朝着衬底WF传播。
通过光掩膜1400的透明区的光可以通过缩小投影设备1600入射至可形成在衬底WF上的光刻胶层,结果,可在衬底WF上形成光刻胶图案。光刻胶图案可具有与光掩膜1400的图像图案相对应的形状。也就是说,通过使用缩小投影设备1600,可在衬底WF上形成光刻胶图案,其形状由光掩膜1400的图像图案限定。
衬底台1800可被构造为支承衬底WF。衬底WF可包括例如硅晶圆。缩小投影设备1600可包括光圈。当光源1200发出的紫外光入射至衬底WF上时,可使用光圈控制景深。作为一个示例,光圈可包括偶极子或四倍光圈。缩小投影设备1600还可包括用于控制焦距的透镜。
随着半导体装置的集成密度增大,光掩膜1400的图像图案之间的距离可减小,从而引起诸如不希望的干涉和衍射的光学邻近问题。作为光学邻近问题的结果,形成在衬底WF上的光刻胶图案可具有畸变的形状(即,与光掩膜1400的图像图案不同)。光刻胶图案的畸变可导致形成在衬底WF上的电子器件或电路的故障。
可使用分辨率提高技术来防止光刻胶图案的畸变。在图2的步骤S30中使用的OPC技术可为分辨率提高技术的示例。根据OPC技术,可通过使用OPC模型的仿真处理来定量预测由干涉和衍射引起的光学畸变问题。可以基于预测结果对设计的版图进行修正和/或偏置。基于修正后的版图,可以在光掩膜1400上形成图像图案,并且在通过这种方法制造光掩膜1400的情况下,可在衬底WF上形成期望形状的光刻胶图案。
半导体装置的版图可包括多个层。在一些实施例中,可执行OPC步骤来修正每个层的版图。换句话说,可对所述多层中的每一个独立执行OPC步骤。可通过半导体工艺在衬底上形成多个层来制造半导体装置。作为示例,半导体装置可包括构成特定电路的多个堆叠的金属层。
图4是示出根据本发明构思的一些实施例的版图的图。在图4中,实线描绘了期望形成在图3的衬底WF上的目标图案,虚线描绘了实际形成在图3的衬底WF上的实际图案。
设计的版图LO1可包括第一电路图案至第四电路图案R1、R2、R3和R4。为了减小附图的复杂度并提供对本发明构思的更好的理解,图4示出设计的版图LO1的形状的示例,但是本发明构思不限于此。设计的版图LO1可设为初始设计的版图。图4中的实线描绘的第一电路图案至第四电路图案R1、R2、R3和R4可示出期望形成在衬底WF上的目标图案。
如上所述,由于干涉和衍射导致的光学畸变问题,衬底WF上的光刻胶图案可具有与设计的版图LO1中的形状不同的形状。例如,即使光掩膜1400的图像图案形成为具有图4的实线描绘的形状,将形成在衬底WF上的第一电路图案至第四电路图案R1、R2、R3和R4可具有图4的虚线描绘的畸变的形状。在这种情况下,形成在衬底WF上的电路可能会异常地操作。
可执行OPC步骤以防止图案的畸变。例如,为了减小(图4的虚线描绘的)实际图案与(图4的实线描绘的)目标图案之间的差异,可通过OPC步骤对设计的版图LO1进行偏置。如本文所用,将设计的版图进行偏置可指调整和/或改变设计的版图,以产生偏置的和/或修正的版图。可基于偏置的版图形成光掩膜1400的图像图案。在将通过该处理制造的光掩膜1400用于光刻工艺的情况下,可形成在衬底WF上的实际图案与在设计的版图LO1中限定的第一电路图案至第四电路图案R1、R2、R3和R4具有基本相同的形状。
图5是示出可作为OPC步骤的一部分执行的将图4的设计的版图的轮廓划分为多个区段的方法的图。参照图5,可在设计的版图的轮廓上设置多个分界点。作为示例,可在设计的版图的轮廓上设置第一分界点PD_1和第二分界点PD_2。可通过第一分界点PD_1和第二分界点PD_2限定一个区段SEG。例如,区段SEG可为第一分界点PD_1与第二分界点PD_2之间的设计的版图的一部分。可基于分界点按照相似方式将设计的版图的轮廓划分为多个区段。
在本说明书中,术语“划分”可不意味着物理划分。此外,虽然在图5中,将多个区段示为好像它们在物理上彼此分离,图5是为了提供对本发明构思的更好理解提供的示意图。
在OPC步骤中,划分的区段SEG中的每一个可为可向其施加偏置的单元对象。可对划分的区段SEG中的每一个独立地进行偏置。例如,区段SEG之一可独立于其它区段SEG在第一方向(例如,正向或向外的方向)和第二方向(例如,负向或向内的方向)之一上偏置。可将划分的区段SEG中的每一个进行偏置以减小实际图案与目标图案之间在形状或尺寸上的差异。可通过图1的OPC工具34对划分的区段SEG执行偏置。图6示出基于偏置的区段获得的修正的版图的示例。
图6是示出通过图5的OPC步骤修正的版图的图。参照图6,修正后的版图可包括从图4的第一电路图案R1修正的第一修正图案R1′。为了便于示出,图6中省略了图4的第二电路图案至第四电路图案R2、R3和R4。如先前参照图5描述的,可将图4的第一电路图案R1的轮廓划分为多个区段,并且可对划分的区段中的每一个进行偏置。如图6所示,可在第一方向(例如,正向或相外的方向)和第二方向(例如,负向或相内的方向)之一上对区段中的每一个进行偏置。在某些实施例中,区段中的至少一个可不被偏置。图6示出作为偏置的结果获得的第一修正图案R1′的示例。
图6的虚线描绘了当使用第一修正图案R1′时可形成在图3的衬底WF上的实际图案。通过对划分的区段中的每一个进行偏置,可减小实际图案与目标图案之间的形状或尺寸差异。
图7是示出基于图6的第一修正图案R1′制造的光掩膜的图。作为示例,参照图7,光掩膜1400可包括对应于图6的第一修正图案R1′的图像图案IM。光掩膜1400可包括透明区和不透明区。不透明区可被构造为防止光从中通过。相反,透明区可被构造为允许可从图3的光源1200发出的光从中通过。通过光掩膜1400的光可入射至图3的衬底WF上的光刻胶层上。图像图案IM可为透明区。
图8是示出利用图7的光掩膜在衬底上印刷电路图案的工艺的图。图3的光源1200中的点光源P1可被构造为朝着光掩膜1400发光。发出的光可通过图像图案IM的透明区,然后可入射至衬底WF上的光刻胶层上。因此,对应于图像图案IM的第一电路图案R1可形成在衬底WF上。
印刷在衬底WF上的实际图案可具有虚线描绘的形状。实际图案可具有与实线描绘的目标图案的形状和尺寸基本相同的形状和尺寸。也就是说,通过利用先前描述的OPC步骤,可减小实际图案与目标图案之间的形状和/或尺寸的差异。
图9是示出根据本发明构思的一些实施例的光学邻近修正方法的流程图。参照图9,关于设计的版图的OPC步骤(S30)可包括产生优化和/或改进的OPC模型(S31)以及随后利用产生的OPC模型对设计版图进行修正和/或偏置(例如,图2的步骤S20获得的结果)(S37)。例如,通过利用改进的OPC模型执行仿真,可预测从设计的版图形成的实际图案。可基于预测结果对设计的版图进行修正和/或偏置。可在图1的OPC工具34中执行图9所示的步骤。
下面将参照图10更详细地描述产生优化和/或改进的OPC模型的步骤(S31)。图10是示出图9所示的OPC模型的产生步骤的详细示例的流程图。图11是示出根据本发明构思的一些实施例的测试版图的平面图。参照图10和图11,可提供测试版图TL。测试版图TL可包括测试图案TP。测试图案TP可具有多边形(例如,矩形),但是本发明构思不限于此。在一些实施例中,测试图案TP的形状可不同地改变,并且在某些实施例中,可将多个测试图案TP设置在测试版图TL中。
图12是示出利用图11的测试版图形成在衬底上的图案的形状的平面图。图13是示出图12的图案的垂直剖面的剖视图。参照图10、图12和图13,可利用基于测试版图TL制造的测试掩膜在衬底WF上形成实际测试图案ATP(S310)。例如,可制造测试掩膜(例如,测试光掩膜),并且其可包括基于测试版图TL形成的图像图案。可利用测试掩膜通过光刻工艺在衬底WF上形成实际测试图案ATP。在一些实施例中,可通过(例如,利用与参照图8描述的方法基本相同的方法)使涂布在衬底WF上的光刻胶层曝光和显影来形成实际测试图案ATP。
由于诸如光学干涉和衍射的一些工艺参数,形成在衬底WF上的实际测试图案ATP可具有与测试版图TL的测试图案TP不同的畸变的形状。
可获得形成在衬底WF上的实际测试图案ATP的平面图像(S311)。图12示出实际测试图案ATP的这种平面图像的示例。例如,平面图像可为利用SEM获得的扫描电子显微镜(SEM)图像。
图14是图12的平面图像的栅格化图像。参照图10和图14,可对平面图像执行栅格化步骤(S312)。详细地,可从平面图像提取实际测试图案ATP的轮廓。换句话说,可从平面图像产生实际测试图案ATP的轮廓图像。轮廓图像可划分为多个像素PX。实际测试图案ATP的轮廓可用于将像素PX分为不与实际测试图案ATP重叠的第一像素PXa和与实际测试图案ATP重叠的第二像素PXb。如本文所用,当在图像(例如,轮廓图像)的像素PX的一部分中包括图案的一部分时将图像的像素PX描述为与该图案重叠。
在一些实施例中,实际测试图案ATP的轮廓可与像素之一(例如,见图14中的PXb′)交叉。例如,像素PXb′的重叠区可与实际测试图案ATP重叠,并且像素PXb′的非重叠区可不与实际测试图案ATP重叠。在重叠区的面积大于非重叠区的面积的情况下,可将像素PXb′定义为第二像素PXb。
可从栅格化平面图像RAI中获得第一标签数据(S313)。详细地,栅格化平面图像RAI的第一像素PXa可用值“1”标记。栅格化平面图像RAI的第二像素PXb可用值“0”标记。这意味着栅格化平面图像RAI被转换为数字数据。
参照图10,预备其中设置了包括多个工艺参数的参数集的OPC模型(S320)。可将OPC模型设置在图1的OPC工具34中和/或加载于其上。工艺参数可包括涉及利用光掩膜执行的光刻工艺的各种参数(例如,曝光光束的强度和/或波长、与照明系统关联的物理参数、光刻胶材料的物理/化学特性等)。
图15是示出通过利用OPC模型对图11的测试版图进行仿真获得的仿真图像的平面图。参照图10和图15,可通过利用OPC模型对图11的测试版图TL执行仿真处理获得仿真图案SP(S321)。仿真图案SP可为示出将实际在衬底上实现的测试图案TP的期望形状的仿真结果。例如,可按照仿真图案SP的轮廓图像的形式提供仿真的结果,如图15所示。
图16是图15的仿真图像的栅格化图像。参照图10和图16,可对仿真结果执行栅格化步骤以获得栅格化仿真结果RSI(S322)。可按照与针对平面图像的栅格化(S312)相同或相似的方式执行仿真结果的栅格化。栅格化的仿真结果RSI可划分为多个像素PX。所述多个像素PX可包括不与仿真图案SP重叠的第一像素PXa和与仿真图案SP重叠的第二像素PXb。
可从栅格化的仿真结果RSI获得第二标签数据(S323)。可按照与从栅格化的平面图像RAI获得第一标签数据(S313)相同的方式执行第二标签数据的获得。栅格化的仿真结果RSI可被转换为数字数据。
图17是示出图14与图16的栅格化图像之间的比较的示例的图。参照图10和图17,可执行用于将从栅格化的平面图像RAI获得的第一标签数据与从栅格化的仿真结果RSI获得的第二标签数据进行比较的比较步骤(S330)。通过将第一标签数据与第二标签数据进行比较,可评估将通过实际制造工艺形成的实际图案与通过仿真预期的仿真图案之间的形状或尺寸的差异。
详细地,可计算并且可检查第一标签数据与第二标签数据之间的分类误差以找出其值是否在允许公差范围内(S340)。可将分类误差定义为错分类的像素的数量与像素PX的总数量的比率。这里,错分类的像素可意指在第一标签数据与第二标签数据之间标签值具有差异的像素。
例如,可将第一标签数据(即,来自图14的栅格化的平面图像RAI)与第二标签数据(即,来自图16的栅格化的仿真结果RSI的)进行比较,如图17所示。由箭头标出错分类的像素,并且其数量为11。由于像素PX的总数为180,因此分类误差为11/180(即,约0.061)。
在分类误差的允许公差范围的上限为0.04的情况下,图17的示例中的分类误差在允许公差范围以外,并且在这种情况下,可修正OPC模型的参数集(S350)。详细地,OPC模型的参数集的工艺参数的值可改变,以设置OPC模型的新参数集。也就是说,由于分类误差的以上值暗示实际图案与仿真图案之间的差异相对大,因此可调整OPC模型的参数以针对实际图案进行更精确的预测。
可使用设置了新参数集的OPC模型以按照相同的方式执行先前描述的对测试版图进行仿真(S321)、将仿真结果栅格化(S322)、从栅格化的仿真结果获得第二标签数据(S323)、将第一标签数据与第二标签数据进行比较(S330)以及检查比较误差是否在允许公差范围内(S340)的步骤。
图18是示出利用设置了新参数集的OPC模型及其栅格化的图像RSI′从仿真获得的结果的图。参照图18,由于改进了OPC模型的工艺参数,因此图18的仿真图案SP的轮廓可变得与图15和图16所示的仿真图案SP的轮廓不同。图18示出栅格化的仿真结果RSI′的第二像素PXb的数量比图16的第二像素PXb的数量多4。
图19是示出图14与图18的栅格化的图像之间的比较的示例的图。参照图19,可将第一标签数据(即,来自图14的栅格化平面图像RAI)与第二标签数据(即,来自图18的栅格化仿真结果RSI′)进行比较(S330)。与先前的图17的情况不同,错分类的像素的数量可为7,并且分类误差可为7/180(即,约0.039)。由于分类误差在允许公差范围(例如,0.04)内,因此可将设置了新参数集的OPC模型用作能够更精确地预测实际形状的优化和/或改进的模型。换句话说,可通过图10中描绘的步骤获得优化和/或改进的OPC模型。可利用优化和/或改进的OPC模型对设计的版图进行修正或偏置。
在根据本发明构思的一些实施例的OPC模型的改进中,可有效地对关于实际测试图案的二维图像的整个区的信息与关于仿真图案的二维图像的整个区的信息进行比较。由于完全考虑二维信息,因此可获得具有改进的可靠性的OPC模型。
图20是示出图12的图案的垂直剖面的另一示例的剖视图。参照图10和图20,可通过利用通过光刻工艺形成的光刻胶图案PRP作为掩膜在衬底WF上形成实际测试图案ATP。
在参照图13描述的先前实施例中,实际测试图案ATP可为通过将光刻胶层曝光和显影形成的光刻胶图案。然而,在一些实施例中,可使用实际测试图案ATP来获得不仅关于这种光刻工艺而且关于设置在光刻胶层下方的硬掩膜和目标层的蚀刻工艺的信息。
例如,目标层、硬掩膜层和光刻胶层可按次序形成在衬底WF上。可基于图11的测试版图TL制造测试掩膜,可利用测试掩膜对光刻胶层执行曝光处理,并且可通过将曝光的光刻胶层显影形成光刻胶图案PRP。可利用光刻胶图案PRP作为蚀刻掩膜蚀刻硬掩膜层,从而形成硬掩膜图案HMP。可利用光刻胶图案PRP和硬掩膜图案HMP作为蚀刻掩膜蚀刻目标层,从而形成实际测试图案ATP。
在一些实施例中,由于不仅通过光刻工艺还通过利用蚀刻掩膜的蚀刻工艺形成实际测试图案ATP,与图11的测试图案TP相比,实际测试图案ATP可极大地畸变。由于可从被执行以在衬底WF上形成目标图案的实际处理中获得根据一些实施例的实际测试图案ATP,因此当与图13的实际测试图案ATP进行比较时,其可包含关于实际处理的更多信息。在其中利用根据一些实施例的实际测试图案ATP的平面图像执行图10的改进的OPC模型的产生(S31)的情况下,可获得具有改进的可靠性的OPC模型。
图21是示出图14与图16的栅格化图像之间的比较的另一示例的图。参照图10和图21,当将第一标签数据与第二标签数据进行比较时(S330),针对第一标签数据与第二标签数据之间的比较可仅考虑边界区BR中的像素。边界区BR可为邻近于实际测试图案ATP的轮廓的区。在一些实施例中,所有和/或大多数错分类的像素可被包括在边界区BR中。这是因为沿着轮廓或在轮廓附近发生实际测试图案与仿真图案之间的差异。
在一些实施例中,可将分类误差定义为边界区BR中的错分类的像素MCP的数量与边界区BR中的像素PX的数量的比率。例如,在图21的示例中,边界区BR中的错分类的像素MCP的数量为11。由于边界区BR中的像素PX的数量为72,因此分类误差可为11/72(即,约0.153)。
在一些实施例中,由于仅在可能出现错误的区上执行第一标签数据与第二标签数据之间的比较,因此可减少处理时间并获得高度可靠的分类误差值。
图22是示出对图14的栅格化图像中的一个像素进行二次采样获得的结果的图。参照图10和图22,在平面图像的栅格化步骤S312中,可对与实际测试图案ATP的轮廓交叉的至少一个像素PXb′执行二次采样。
例如,可将像素PXb′划分为多个子像素sPX。子像素sPX中的每一个可小于像素PX中的每一个。实际测试图案ATP的轮廓可用于将子像素sPX分类为不与实际测试图案ATP重叠的第一子像素sPXa以及与实际测试图案ATP重叠的第二子像素sPXb。可用值“1”标记第一子像素sPXa,并且可用值“0”标记第二子像素sPXb。
另外,虽然未示出,但是即使在仿真结果的栅格化步骤S322中,也可按照相同或相似方式对与仿真图案SP的轮廓交叉的至少一个像素执行前述二次采样。通过将通过这种二次采样处理获得的第一标签数据与第二标签数据彼此进行比较,可获得相关分类误差。
在与轮廓交叉的像素处,在实际测试图案与仿真图案之间可存在大的差异。在本发明构思的实施例的二次采样方法中,可提取与图案轮廓交叉的至少一个像素,随后可将其划分为多个子像素,并且可获得高度可靠的分类误差值。
图23是示出针对半导体装置的第一互连层设计的版图的平面图。
图24是示出通过对图23的设计的版图执行根据本发明构思的一些实施例的OPC方法获得的结果的平面图。
参照图23,可提供半导体装置的标准单元STD的设计的版图。标准单元STD的设计的版图可为从先前参照图2描述的版图设计S20中获得的结果。标准单元STD的设计的版图可包括多个层。在图23中,示出第一互连层M1L作为所述多个层之一的典型示例。
第一互连层M1L可包括多个第一互连图案M1a。第一互连图案M1a可具有在第二方向D2上延伸的线形或条形。第一互连图案M1a可在第一方向D1上彼此间隔开布置。
参照图24,可执行先前参照图9和图10描述的OPC步骤S30。详细地,可利用先前参照图10至图22描述的方法优化和/或改进OPC模型(S31),并且可利用优化和/或改进的OPC模型修正和/或偏置标准单元STD的设计的版图(S37)。作为OPC步骤的结果,第一互连层M1L的第一互连图案M1a(例如,见虚线)可改变为第一修正的图案M1a′。
图25、图27、图29和图31是示出根据本发明构思的一些实施例的制造半导体装置的方法的平面图。图26A、图28A、图30A和图32A分别是沿着图25、图27、图29和图31的线A-A′截取的剖视图,图26B、图28B、图30B和图32B分别是沿着图25、图27、图29和图31的线B-B′截取的剖视图,并且图28C、图30C和图32C分别是沿着图27、图29和图31的线C-C′截取的剖视图。
参照图25、图26A和图26B,可提供具有单元区LC的衬底WF。单元区LC可为衬底WF的对应于参照图23和图24描述的标准单元STD的区。例如,衬底WF可为硅衬底、锗衬底或绝缘体上硅(SOI)衬底。衬底WF的上部可图案化,以形成第一有源图案FN1和第二有源图案FN2。第一器件隔离层ST1可形成为填充第一有源图案FN1与第二有源图案FN2之间的间隙区。第二器件隔离层ST2可形成在衬底WF上,以限定PMOSFET区PR和NMOSFET区NR。
第一器件隔离层ST1和第二器件隔离层ST2可通过浅沟槽隔离(STI)工艺形成。第一器件隔离层ST1和第二器件隔离层ST2可由氧化硅形成或包括氧化硅,但是本发明构思不限于此。
参照图27、图28A、图28B和图28C,栅电极GE可形成为与第一有源图案FN1和第二有源图案FN2交叉,以在第一方向D1上延伸。栅极电介质层GI可形成在栅电极GE下方和两个侧表面上。栅极间隔件GS可形成在栅电极GE中的每一个的两个侧表面上。栅极封盖层CP可相应地形成在栅电极GE上。
详细地,栅电极GE的形成可包括:形成与第一有源图案FN1和第二有源图案FN2交叉的牺牲图案;在牺牲图案的两侧形成栅极间隔件GS;以及用栅电极GE替代牺牲图案。
栅电极GE可由导电金属氮化物(例如,氮化钛和/或氮化钽)和/或金属(例如,钛、钽、钨、铜和/或铝)中的至少一个形成或者包括它们中的至少一个,但是本发明构思不限于此。栅极电介质层GI可由介电常数高于氧化硅的介电常数的高k电介质材料形成或者包括所述高k电介质材料,但是本发明构思不限于此。栅极间隔件GS可由SiCN、SiCON或SiN中的至少一个形成或者包括它们中的至少一个。栅极封盖层CP可由SiON、SiCN、SiCON或SiN中的至少一个形成或者包括它们中的至少一个,但是本发明构思不限于此。
第一源极/漏极区SD1可形成在第一有源图案FN1上。第二源极/漏极区SD2可形成在第二有源图案FN2上。第一源极/漏极区SD1和第二源极/漏极区SD2可形成在栅电极GE中的每一个的两侧。第一源极/漏极区SD1可为掺有p型杂质的区,第二源极/漏极区SD2可为掺有n型杂质的区。
例如,第一源极/漏极区SD1和第二源极/漏极区SD2可为通过选择性外延生长工艺形成的外延图案。详细地,第一源极/漏极区SD1和第二源极/漏极区SD2的形成可包括:在栅电极GE中的每一个的两侧使第一有源图案FN1和第二有源图案FN2部分地凹陷;以及执行外延生长工艺,以在第一有源图案FN1和第二有源图案FN2的凹陷区中形成外延图案。
可在衬底WF的顶表面上形成第一层间绝缘层110。第一层间绝缘层110可由氧化硅层和/或氧氮化硅层形成或者包括氧化硅层和/或氧氮化硅层,但是本发明构思不限于此。
参照图29、图30A、图30B和图30C,有源接触件AC和栅极接触件GC可形成在第一层间绝缘层110中。有源接触件AC可形成在第一源极/漏极区SD1和第二源极/漏极区SD2上。有源接触件AC中的每一个可形成为具有在第一方向D1上延伸的条形。栅极接触件GC可形成在栅电极GE上。栅极接触件GC中的每一个可形成为具有在第二方向D2上延伸的条形。有源接触件AC和栅极接触件GC可由金属材料(例如,铝、铜、钨、钼和/或钴)中的至少一个形成或者包括它们中的至少一个,但是本发明构思不限于此。
参照图31、图32A、图32B和图32C,可在第一层间绝缘层110上形成第二层间绝缘层120。第二层间绝缘层120可由氧化硅层和/或氧氮化硅层形成,但是本发明构思不限于此。
可在第二层间绝缘层120中形成第一互连线M1和第一过孔V1。第一过孔V1可形成在第一互连线M1与有源接触件AC之间以及第一互连线M1与栅极接触件GC之间。第一互连线M1中的每一条可形成为具有在第二方向D2上延伸的线形或条形。
可基于其上执行了先前参照图24描述的OPC步骤的第一互连层M1L(见图23和图24)形成第一互连线M1。例如,可基于图24的第一互连层M1L制造光掩膜。可利用光掩膜执行光刻工艺以形成将用于限定第二层间绝缘层120上的第一互连线M1的位置和形状的光刻胶图案。可利用光刻胶图案作为蚀刻掩膜蚀刻第二层间绝缘层120的上部,以形成互连孔。可通过用导电材料填充互连孔形成第一互连线M1。虽然未示出,但是可在第二层间绝缘层120上额外形成至少一个层间绝缘层和至少一个互连层。
第一互连线M1可形成为具有与图23所示的设计的版图中的第一互连图案M1a的平面形状基本相似的平面形状。这是因为,如参照本发明构思的一些实施例的描述,优化和/或改进OPC模型,并且将优化和/或改进的OPC模型用于OPC步骤。
在根据本发明构思的一些实施例的优化和/或改进OPC模型的方法中,可有效地将关于实际图案的二维图像的整个区的信息与关于作为仿真结果获得的二维图像的整个区的信息进行比较。由于考虑二维信息,可获得高度可靠的OPC模型。
应该理解,虽然本文中使用术语“第一”、“第二”等来描述本发明构思的示例实施例中的构件、区、层、部分、区段、组件和/或元件,但是这些构件、区、层、部分、区段、组件和/或元件不应被这些术语限制。这些术语仅用于将一个构件、区、层、部分、区段、组件或元件与另一构件、区、层、部分、区段、组件或元件区分开。因此,下面讨论的第一构件、区、层、部分、区段、组件或元件也可被称作第二构件、区、层、部分、区段、组件或元件,而不脱离本发明构思的范围。例如,第一元件也可被称作第二元件,相似地,第二元件也可被称作第一元件,而不脱离本发明构思的范围。
为了方便描述,本文中可使用诸如“在……下方”、“在……之下”、“下”、“在……之上”、“上”等的空间相对术语,以描述附图中所示的一个元件或特征与另一元件或特征的关系。应该理解,空间相对术语旨在涵盖使用中或操作中的装置的除图中所示的取向之外的不同取向。例如,如果图中的装置颠倒,则被描述为“在其它元件或特征之下”或“在其它元件或特征下方”的元件将因此被取向为“在其它元件或特征之上”。因此,示例性术语“在……之下”可涵盖在……之上和在……之下这两个取向。装置可按照其它方式取向(旋转90度或位于其它取向),并且本文所用的空间相对描述语将相应地被解释。
本文所用的术语仅是为了描述特定实施例,并且不旨在限制示例实施例。如本文所用,除非上下文另外明确指出,否则单数形式的“一个”、“一”和“该”也旨在包括复数形式。还应该理解,术语“包括”、“包含”和/或“涵盖”当用于本说明书中时,指明存在所列特征、整体、步骤、操作、元件和/或组件,但不排除存在或添加一个或多个其它特征、整体、步骤、操作、元件、组件和/或它们的组。
除非另外限定,否则本文中使用的所有术语(包括技术和科学术语)具有与本发明构思所属领域的技术人员通常理解的含义相同的含义。还应该理解,除非本文中明确这样定义,否则诸如在通用词典中定义的那些的术语应该被解释为具有与它们在本说明书和相关技术的上下文中的含义一致的含义,而不应该按照理想化或过于正式的含义解释它们。
当可不同地实施特定示例实施例时,可与所述次序不同地执行特定处理次序。例如,两个连续描述的处理可基本同时执行,或者按照与描述的次序相反的次序执行。
在附图中,可预见作为例如制造技术和/或公差的结果的相对于示出的形状的变化。因此,本发明构思的示例实施例不应理解为限于这里示出的区的特定形状,而是可理解为包括由例如制造工艺导致的形状的偏差。例如,示为矩形形状的蚀刻区将通常具有圆形或弯曲形状。因此,图中示出的区实际上是示意性的,并且图中示出的区的形状旨在示出装置的区的特定形状,而不旨在限制本发明构思的范围。如本文所用,术语“和/或”包括相关所列项的一个或多个的任何和所有组合。当诸如“……中的至少一个”的表达出现于元素的列表之前时,修饰元素的整个列表而不修饰列表中的单独的元素。
应该理解,当元件被称作“连接至”或“耦接至”另一元件时,其可直接连接至或耦接至所述另一元件,或者可存在中间元件。相反,当元件被称作“直接连接”或“直接耦接”至另一元件时,不存在中间元件或层。应该按照相同的方式解释其它用于描述元件或层之间的关系的词语(例如,“在……之间”与“直接在……之间”、“邻近”与“直接邻近”、“在……上”与“直接在……上”)。
相同的标号始终指代相同的部分。因此,可参照其它附图描述相同或相似的标号,即使它们在对应的附图中未提及或描述。另外,可参照其它附图描述未用参考标号指代的元件。
虽然已经具体示出并描述了本发明构思的一些实施例,但是本领域普通技术人员应该理解,在不脱离权利要求的精神和范围的情况下,可在其中作出各种形式和细节上的改变。

Claims (20)

1.一种制造半导体装置的方法,包括以下步骤:
设计版图;
执行光学邻近修正处理,以修正设计的版图;
利用修正后的版图制造第一光掩膜;以及
利用所述第一光掩膜在衬底上形成图案,
其中,所述光学邻近修正处理包括产生光学邻近修正模型和利用产生的光学邻近修正模型修正设计的版图,并且
其中,产生所述光学邻近修正模型的步骤包括:
将实际图案的平面图像栅格化,以获得第一标签数据;
将仿真图案的仿真图像栅格化,以获得第二标签数据,所述仿真图案是利用所述光学邻近修正模型获得的,所述光学邻近修正模型中设置有包括工艺参数的参数集;
将所述第一标签数据与所述第二标签数据进行比较,以获得比较数据;以及
基于所述比较数据修正所述参数集的工艺参数。
2.根据权利要求1所述的方法,其中,将所述实际图案的平面图像栅格化的步骤包括:
将所述平面图像划分为多个像素;以及
将所述多个像素分为不与所述实际图案重叠的第一像素和与所述实际图案重叠的第二像素。
3.根据权利要求2所述的方法,其中,将所述实际图案的平面图像栅格化的步骤包括:
将与所述实际图案的轮廓交叉的至少一个像素划分为多个子像素;以及
将所述多个子像素分为不与所述实际图案重叠的第一子像素和与所述实际图案重叠的第二子像素。
4.根据权利要求2所述的方法,其中,获所述第一标签数据的步骤包括:
用第一值对所述第一像素进行标记;以及
用与所述第一值不同的第二值对所述第二像素进行标记。
5.根据权利要求1所述的方法,其中,将所述仿真图像栅格化的步骤包括:
将所述仿真图像划分为多个像素;以及
将所述多个像素分为不与所述仿真图案重叠的第一像素和与所述仿真图案重叠的第二像素。
6.根据权利要求1所述的方法,其中,获得所述比较数据的步骤包括获得分类误差,并且
其中,重复对所述参数集的工艺参数的修正,直至所述分类误差的值在允许的公差范围内为止。
7.根据权利要求1所述的方法,其中,利用包括测试图案的测试版图在晶圆上形成所述实际图案,并且
其中,通过利用所述光学邻近修正模型对所述测试版图进行仿真来获得所述仿真图案。
8.根据权利要求7所述的方法,其中,形成所述实际图案的步骤包括:
在所述晶圆上按次序形成目标层、硬掩膜层和光刻胶层;
通过利用所述测试版图制造的第二光掩膜执行光刻工艺,以形成光刻胶图案;
利用所述光刻胶图案作为蚀刻掩膜来蚀刻所述硬掩膜层,以形成硬掩膜图案;以及
利用所述硬掩膜图案作为蚀刻掩膜来蚀刻所述目标层,以形成所述实际图案。
9.根据权利要求1所述的方法,其中,将所述实际图案的平面图像栅格化的步骤包括将所述平面图像划分为多个第一像素,
其中,将所述仿真图像栅格化的步骤包括将所述仿真图像划分为多个第二像素,
其中,将所述第一标签数据与所述第二标签数据进行比较的步骤包括选择性地将边界区中的所述多个第一像素中的至少一个与边界区中的所述多个第二像素中的至少一个进行比较,并且
其中,所述边界区是邻近所述实际图案的轮廓的区。
10.根据权利要求1所述的方法,其中,利用扫描电子显微镜获得所述实际图案的平面图像。
11.一种制造半导体装置的方法,包括:
产生光学邻近修正模型;以及
利用产生的光学邻近修正模型对设计的版图执行光学邻近修正处理,
其中,产生所述光学邻近修正模型的步骤包括:
将实际图案的平面图像划分为第一多个像素,所述平面图像的所述第一多个像素包括与所述实际图案重叠的第一像素;
将仿真图案的仿真图像划分为第二多个像素,所述仿真图案是利用所述光学邻近修正模型获得的,所述仿真图像的所述第二多个像素包括与所述仿真图案重叠的第二像素;
将所述第一像素与所述第二像素进行比较,以获得比较数据;以及
基于所述比较数据修正所述光学邻近修正模型的参数集。
12.根据权利要求11所述的方法,其中,利用包括测试图案的测试版图在衬底上形成所述实际图案,并且
其中,通过利用所述光学邻近修正模型对所述测试版图进行仿真来获得所述仿真图案。
13.根据权利要求11所述的方法,其中,获得所述比较数据的步骤包括获得分类误差,并且
其中,将所述分类误差定义为所述第一像素的数量与所述第二像素的数量之间的差与所述平面图像的所述第一多个像素的数量的比率。
14.根据权利要求11所述的方法,还包括以下步骤:
设计包括第一互连层的版图;
利用对其执行了光学邻近修正处理的所述第一互连层制造光掩膜;
利用所述光掩膜执行光刻工艺,以在层间绝缘层上形成光刻胶图案;
利用所述光刻胶图案作为蚀刻掩膜来蚀刻所述层间绝缘层,以形成互连孔;以及
用导电材料填充所述互连孔,以在所述层间绝缘层中形成第一互连线。
15.根据权利要求11所述的方法,其中,利用扫描电子显微镜获得所述实际图案的平面图像。
16.一种产生光学邻近修正模型的方法,包括以下步骤:
利用包括测试图案的测试版图在衬底上形成实际图案;
将所述实际图案的平面图像划分为第一多个像素;
基于所述实际图案的第一轮廓对所述平面图像的所述第一多个像素进行标记,以获得第一标签数据;
利用光学邻近修正模型对测试版图进行仿真,以获得仿真图像;
将所述仿真图像划分为第二多个像素;
基于所述仿真图像中的图案的第二轮廓对所述仿真图像的所述第二多个像素进行标记,以获得第二标签数据;
将所述第一标签数据与所述第二标签数据进行比较,以获得比较数据;以及
基于所述比较数据修正所述光学邻近修正模型的参数集。
17.根据权利要求16所述的方法,其中,获得所述第一标签数据的步骤包括:
将所述平面图像的所述第一多个像素分为不与所述实际图案重叠的第一像素和与所述实际图案重叠的第二像素;
用第一值对所述第一像素进行标记;以及
用与所述第一值不同的第二值对所述第二像素进行标记。
18.根据权利要求16所述的方法,其中,形成所述实际图案的步骤包括:
在所述衬底上形成光刻胶层;以及
用利用所述测试版图制造的光掩膜执行光刻工艺,以形成光刻胶图案。
19.根据权利要求18所述的方法,其中,形成所述实际图案的步骤还包括:
在所述衬底与所述光刻胶层之间形成目标层和硬掩膜层;
利用所述光刻胶图案作为蚀刻掩膜来蚀刻所述硬掩膜层,以形成硬掩膜图案;以及
利用所述硬掩膜图案作为蚀刻掩膜来蚀刻所述目标层,以形成所述实际图案。
20.根据权利要求16所述的方法,其中,获得所述比较数据的步骤包括获得分类误差,
其中,重复对所述参数集修正,直至所述分类误差的值在允许的公差范围内为止。
CN201810697532.3A 2017-06-30 2018-06-29 改进光学邻近修正模型的方法和制造半导体装置的方法 Active CN109216348B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170083815A KR102415583B1 (ko) 2017-06-30 2017-06-30 Opc 모델의 최적화 방법 및 이를 이용한 반도체 소자의 제조 방법
KR10-2017-0083815 2017-06-30

Publications (2)

Publication Number Publication Date
CN109216348A true CN109216348A (zh) 2019-01-15
CN109216348B CN109216348B (zh) 2023-10-03

Family

ID=64738650

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810697532.3A Active CN109216348B (zh) 2017-06-30 2018-06-29 改进光学邻近修正模型的方法和制造半导体装置的方法

Country Status (3)

Country Link
US (1) US10527929B2 (zh)
KR (1) KR102415583B1 (zh)
CN (1) CN109216348B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110262191A (zh) * 2019-05-09 2019-09-20 崔绍春 一种计算光刻建模方法及装置
CN111339724A (zh) * 2020-02-21 2020-06-26 全芯智造技术有限公司 用于生成数据处理模型和版图的方法、设备和存储介质
CN113253565A (zh) * 2021-07-05 2021-08-13 南京晶驱集成电路有限公司 光学临近修正方法、装置及电子设备
CN114927410A (zh) * 2022-02-24 2022-08-19 珠海洪启科技合伙企业(有限合伙) 半导体器件的加工控制方法、装置及高能粒子束光刻设备

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11079672B2 (en) * 2018-10-31 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for layout enhancement based on inter-cell correlation
WO2020207696A1 (en) 2019-04-09 2020-10-15 Asml Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
CN113924525A (zh) 2019-04-15 2022-01-11 Asml荷兰有限公司 用于确定对掩模的特征的校正的方法
KR20200125822A (ko) 2019-04-25 2020-11-05 삼성전자주식회사 Opc 모델링 방법 및 이를 이용한 반도체 소자의 제조 방법
KR20210028798A (ko) 2019-09-04 2021-03-15 삼성전자주식회사 반도체 장치의 제조 방법
US11256379B2 (en) * 2019-09-26 2022-02-22 Samsung Display Co., Ltd. Display device and a method of fabricating the same
CN112859508A (zh) * 2019-11-27 2021-05-28 台湾积体电路制造股份有限公司 集成电路制造方法
CN113495426A (zh) * 2020-04-08 2021-10-12 长鑫存储技术有限公司 一种光学临近效应修正方法及装置
KR102546528B1 (ko) 2020-10-15 2023-06-21 영남대학교 산학협력단 반도체 제조 파라미터 설정 방법 및 이를 수행하기 위한 컴퓨팅 장치
CN114063384A (zh) * 2022-01-14 2022-02-18 深圳晶源信息技术有限公司 一种掩模图形修正方法
KR20230127738A (ko) 2022-02-25 2023-09-01 포항공과대학교 산학협력단 반도체 파라미터 설정 장치 및 방법

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050077167A (ko) * 2004-01-27 2005-08-01 삼성전자주식회사 멀티 시뮬레이션 모델을 이용한 광 근접 보정을 통한마스크 레이아웃 보정 방법
CN1661773A (zh) * 2004-02-23 2005-08-31 株式会社东芝 掩膜数据的修正方法、光掩膜和光学像的预测方法
KR20070105200A (ko) * 2006-04-25 2007-10-30 주식회사 하이닉스반도체 광 근접 보정 검증 방법
US7493590B1 (en) * 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
KR20090042455A (ko) * 2007-10-26 2009-04-30 주식회사 하이닉스반도체 광 근접 보정을 위한 모델링 방법
KR20090071738A (ko) * 2007-12-28 2009-07-02 주식회사 하이닉스반도체 복수 레이아웃의 데이터를 이용한 패턴 검증 방법
JP2011108726A (ja) * 2009-11-13 2011-06-02 Renesas Electronics Corp Euvマスクの欠陥検査方法、euvマスクの製造方法、euvマスク検査装置、および、半導体装置の製造方法
CN102193306A (zh) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 设计光掩膜版的方法
CN102411259A (zh) * 2011-11-28 2012-04-11 上海华力微电子有限公司 对光掩膜设计版图进行光学临近修正的方法和装置
CN103186033A (zh) * 2011-12-31 2013-07-03 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法、连接孔的制作方法
JP2014081472A (ja) * 2012-10-16 2014-05-08 Renesas Electronics Corp 光近接効果補正方法、処理装置、プログラム、マスクの製造方法、及び半導体装置の製造方法
KR20150046657A (ko) * 2013-10-22 2015-04-30 삼성전자주식회사 광 근접 보정의 모델링 방법
KR20150055912A (ko) * 2013-11-14 2015-05-22 삼성전자주식회사 패턴의 결함 탐지 방법
JP2016174152A (ja) * 2015-03-17 2016-09-29 アイエムエス ナノファブリケーション アーゲー 限界寸法が緩和されたパターンエリアのマルチビーム描画
US20170024510A1 (en) * 2015-07-23 2017-01-26 Samsung Electronics Co., Ltd. Semiconductor device manufacturing method and mask manufacturing method
WO2017060192A1 (en) * 2015-10-08 2017-04-13 Asml Netherlands B.V. Method and apparatus for pattern correction and verification

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6472108B1 (en) * 2000-10-10 2002-10-29 United Microelectronics Corp. Optical proximity correction method
US7420710B2 (en) 2001-07-11 2008-09-02 Applied Materials, Inc. Optical proximity correction in raster scan printing based on grayscale manipulation of the bitmap
KR100576752B1 (ko) 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. 2차원 피처모델 캘리브레이션 및 최적화 방법
JP2007192652A (ja) * 2006-01-19 2007-08-02 Advanced Mask Inspection Technology Kk パターン検査装置、パターン検査方法、及び検査対象試料
DE102008019341B4 (de) 2008-04-15 2020-09-24 Carl Zeiss Smt Gmbh Verfahren zur Analyse von Masken für die Photolithographie
WO2010134018A2 (en) 2009-05-20 2010-11-25 Mapper Lithography Ip B.V. Pattern data conversion for lithography system
JP5730721B2 (ja) 2011-09-08 2015-06-10 株式会社日立ハイテクノロジーズ パターン計測装置、およびパターン計測方法
CN103309149B (zh) * 2013-06-08 2016-03-23 上海华力微电子有限公司 光学临近效应修正方法
US8918743B1 (en) 2013-08-12 2014-12-23 Synopsys, Inc. Edge-based full chip mask topography modeling
KR102247563B1 (ko) 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
US10025175B2 (en) * 2014-09-12 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system to prepare, manufacture and inspect mask patterns for a semiconductor device
US9996658B2 (en) * 2016-05-13 2018-06-12 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050077167A (ko) * 2004-01-27 2005-08-01 삼성전자주식회사 멀티 시뮬레이션 모델을 이용한 광 근접 보정을 통한마스크 레이아웃 보정 방법
CN1661773A (zh) * 2004-02-23 2005-08-31 株式会社东芝 掩膜数据的修正方法、光掩膜和光学像的预测方法
KR20070105200A (ko) * 2006-04-25 2007-10-30 주식회사 하이닉스반도체 광 근접 보정 검증 방법
US7493590B1 (en) * 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
KR20090042455A (ko) * 2007-10-26 2009-04-30 주식회사 하이닉스반도체 광 근접 보정을 위한 모델링 방법
KR20090071738A (ko) * 2007-12-28 2009-07-02 주식회사 하이닉스반도체 복수 레이아웃의 데이터를 이용한 패턴 검증 방법
JP2011108726A (ja) * 2009-11-13 2011-06-02 Renesas Electronics Corp Euvマスクの欠陥検査方法、euvマスクの製造方法、euvマスク検査装置、および、半導体装置の製造方法
CN102193306A (zh) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 设计光掩膜版的方法
CN102411259A (zh) * 2011-11-28 2012-04-11 上海华力微电子有限公司 对光掩膜设计版图进行光学临近修正的方法和装置
CN103186033A (zh) * 2011-12-31 2013-07-03 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法、连接孔的制作方法
JP2014081472A (ja) * 2012-10-16 2014-05-08 Renesas Electronics Corp 光近接効果補正方法、処理装置、プログラム、マスクの製造方法、及び半導体装置の製造方法
KR20150046657A (ko) * 2013-10-22 2015-04-30 삼성전자주식회사 광 근접 보정의 모델링 방법
KR20150055912A (ko) * 2013-11-14 2015-05-22 삼성전자주식회사 패턴의 결함 탐지 방법
JP2016174152A (ja) * 2015-03-17 2016-09-29 アイエムエス ナノファブリケーション アーゲー 限界寸法が緩和されたパターンエリアのマルチビーム描画
US20170024510A1 (en) * 2015-07-23 2017-01-26 Samsung Electronics Co., Ltd. Semiconductor device manufacturing method and mask manufacturing method
WO2017060192A1 (en) * 2015-10-08 2017-04-13 Asml Netherlands B.V. Method and apparatus for pattern correction and verification

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110262191A (zh) * 2019-05-09 2019-09-20 崔绍春 一种计算光刻建模方法及装置
CN110262191B (zh) * 2019-05-09 2021-06-08 墨研计算科学(南京)有限公司 一种计算光刻建模方法及装置
CN111339724A (zh) * 2020-02-21 2020-06-26 全芯智造技术有限公司 用于生成数据处理模型和版图的方法、设备和存储介质
CN111339724B (zh) * 2020-02-21 2023-09-05 全芯智造技术有限公司 用于生成数据处理模型和版图的方法、设备和存储介质
CN113253565A (zh) * 2021-07-05 2021-08-13 南京晶驱集成电路有限公司 光学临近修正方法、装置及电子设备
CN114927410A (zh) * 2022-02-24 2022-08-19 珠海洪启科技合伙企业(有限合伙) 半导体器件的加工控制方法、装置及高能粒子束光刻设备
CN114927410B (zh) * 2022-02-24 2023-03-31 洪启集成电路(珠海)有限公司 半导体器件的加工控制方法、装置及高能粒子束光刻设备

Also Published As

Publication number Publication date
CN109216348B (zh) 2023-10-03
KR20190003909A (ko) 2019-01-10
KR102415583B1 (ko) 2022-07-04
US10527929B2 (en) 2020-01-07
US20190004418A1 (en) 2019-01-03

Similar Documents

Publication Publication Date Title
CN109216348A (zh) 改进光学邻近修正模型的方法和制造半导体装置的方法
CN110546635B (zh) 使用与单元级布局相关的应力效应的单元放置和布线
CN104885193B (zh) 用于双图案微影术的金属密度分布
US7458045B2 (en) Silicon tolerance specification using shapes as design intent markers
US11726402B2 (en) Method and system for layout enhancement based on inter-cell correlation
US8589830B2 (en) Method and apparatus for enhanced optical proximity correction
US8161421B2 (en) Calibration and verification structures for use in optical proximity correction
US20140123084A1 (en) System and Method for Improving a Lithography Simulation Model
US9995998B2 (en) Method and apparatus for integrated circuit layout
US8024675B1 (en) Method and system for wafer topography-aware integrated circuit design analysis and optimization
US7302673B2 (en) Method and system for performing shapes correction of a multi-cell reticle photomask design
US11762302B2 (en) Integrated circuit overlay test patterns and method thereof
US9536039B2 (en) Optical proximity correction (OPC) accounting for critical dimension (CD) variation from inter-level effects
US11748543B2 (en) Multiple power domains using nano-sheet structures
US20140282292A1 (en) Surface topography enhanced pattern (step) matching
CN101802829B (zh) 用于确定光学阈值和抗蚀剂偏置的方法和装置
US11387144B2 (en) Semiconductor device and method of manufacturing the same
Rittman Nanometer DFM–the tip of the ice
KR20180076370A (ko) 설계 파일 내에 동적 레이어 콘텐츠를 저장하는 방법
KR20200125822A (ko) Opc 모델링 방법 및 이를 이용한 반도체 소자의 제조 방법
Qian et al. Advanced physical models for mask data verification and impacts on physical layout synthesis
O'Brien et al. OPC on real-world circuitry
Miyagi et al. Integrated advanced hotspot analysis techniques in the post-OPC verification flow
Gómez Fernández Regular cell design approach considering lithography-induced process variations
Rittman Litho-Clean-By-Construction Nanometer Design

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant