CN109037043B - 一种处理衬底的方法 - Google Patents

一种处理衬底的方法 Download PDF

Info

Publication number
CN109037043B
CN109037043B CN201810585996.5A CN201810585996A CN109037043B CN 109037043 B CN109037043 B CN 109037043B CN 201810585996 A CN201810585996 A CN 201810585996A CN 109037043 B CN109037043 B CN 109037043B
Authority
CN
China
Prior art keywords
layer
substrate
depositing
germanium
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810585996.5A
Other languages
English (en)
Other versions
CN109037043A (zh
Inventor
云桑·S·金姆
艾德蒙·布尔特
博多·卡尔科芬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN109037043A publication Critical patent/CN109037043A/zh
Application granted granted Critical
Publication of CN109037043B publication Critical patent/CN109037043B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02466Antimonides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02469Group 12/16 materials
    • H01L21/02472Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02549Antimonides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01051Antimony [Sb]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Physical Vapour Deposition (AREA)
  • Recrystallisation Techniques (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及采用原子层沉积和退火以使锑与磷共掺杂形成超浅结。一种用于处理衬底的方法,其包括提供具有包括从由硅(Si)、锗(Ge)和硅锗组成的组中选择的材料的层的衬底。该方法包括使用原子层沉积(ALD)在衬底的层上沉积第一层。该方法包括使用ALD在第一层上沉积第二层。沉积第一层和沉积第二层中的一个层包括沉积氧化磷以及沉积第一层和沉积第二层中的另一个层包括沉积氧化锑。该方法包括退火衬底以从第一层和第二层驱动锑和磷进入衬底的层以形成结。

Description

一种处理衬底的方法
相关申请的交叉引用
本申请主张于2017年6月8日提交的美国临时申请No.62/516,933的优先权。以上引用的申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及衬底处理系统和方法,并且更具体地涉及用于使用原子层沉积和退火来使锑和磷共搀杂以形成超浅结的系统和方法。
背景技术
这里提供的背景描述是为了总体上介绍本公开的背景。在该背景技术部分和描述的方面中描述的程度上的在申请时可能无资格另外作为现有技术的目前提名的发明人的工作既未清楚地,也未隐含地被承认作为针对本公开的现有技术。
衬底处理系统被用于沉积、蚀刻或以其他方式处理在诸如半导体晶片之类的衬底上的膜。在处理过程中,有时需要使用一种或多种掺杂剂掺杂衬底的层。掺杂剂可用于产生诸如晶体管之类的有源器件的n型或p型区域。例如,可以使用磷(P)或锑(Sb)来在诸如硅(Si)、锗(Ge)或硅锗(Si1-xGex)之类的材料中形成n型区域,其中x是0和1之间的实数。用于掺杂的Si、Ge或Si1-xGex的工艺包括离子注入工艺(I2P)或等离子体掺杂。离子注入Sb和P需要两个不同的注入步骤和昂贵的设备。
发明内容
用于处理衬底的方法包括提供具有包括从由硅(Si)、锗(Ge)和硅锗(Si1-xGex)构成的组中选择的材料的层的衬底。该方法包括使用原子层沉积(ALD)在衬底的层上沉积第一层。该方法包括使用ALD在第一层上沉积第二层。沉积第一层和第二层中的一者包括沉积氧化磷,以及沉积第一层和第二层中的另一者包括沉积氧化锑。该方法包括退火衬底以从第一层和第二层驱动锑和磷进入衬底的层中以形成结。
在其他特征中,沉积第一层和第二层中的一者包括执行多个ALD超循环。多个ALD超循环中的每一个超循环包括沉积N个氧化磷层和M个氧化硅层,其中M和N是大于零的整数。
在其他特征中,沉积第一层包括执行多个ALD超循环并且沉积第二层包括沉积氧化锑。该接是一个超浅接。退火后,超浅结的深度范围在4nm至10nm之间。
在其他特征中,第一层的厚度在2nm到10nm的范围之内。第二层的厚度在2nm至10nm的范围之内。N在3至7的范围内,M在1至3的范围内。多个ALD超循环在20至60的范围之内。多个ALD超循环在35至45的范围之内。氧化锑在T个ALD循环期间沉积,并且T在80至100的范围之内。
在其他特征中,该方法包括蚀刻第一和第二层。
在其他特征中,衬底包括深宽比大于4:1的沟槽。衬底的层包括锗。在衬底层的表面,超浅结中的掺杂水平大于或等于1E20原子/cm3,以及在衬底层的表面下,超浅结中的掺杂水平大于或等于1E17原子/cm3
用于处理衬底的方法包括提供具有包括由硅(Si)、锗(Ge)和硅锗(Si1-xGex)组成的组中被选择的材料的层的衬底。该方法包括使用原子层沉积(ALD)在衬底的层上沉积第一层。第一层包括磷、锑和氧。该方法包括退火衬底以驱动锑和磷从第一层进入衬底的层以形成结。
在其他特征中,沉积第一层包括沉积一个或多个ALD磷单层,一个或多个ALD锑单层和一个或多个ALD氧化物单层。该结是一个超浅结。退火后,超连续结在从4nm至10nm的范围内的深度。
在其他特征中,该方法包括蚀刻第一层。衬底包括深宽比大于4:1的沟槽。
具体而言,本发明的一些方面可以阐述如下:
1.一种处理衬底的方法,其包括:
提供具有包括从由硅(Si)、锗(Ge)和硅锗(Si1-xGex)构成的组中选择的材料的层的衬底,
使用原子层沉积(ALD)在所述衬底的所述层上沉积第一层,
使用ALD在所述第一层上沉积第二层,
其中沉积所述第一层和所述第二层中的一者包括沉积氧化磷,以及沉积所述第一层和所述第二层中的另一者包括沉积氧化锑,以及
退火所述衬底以从所述第一层和所述第二层驱动锑和磷进入所述衬底的所述层以形成结。
2.根据条款1所述的方法,其中,沉积所述第一层和所述第二层中的所述一者包括执行多个ALD超级循环,并且其中所述多个ALD超级循环中的每一个包括沉积N个氧化磷层和M个氧化硅层,其中M和N是大于零的整数。
3.根据条款2所述的方法,其中沉积所述第一层包括执行所述多个ALD超级循环,以及沉积所述第二层包括沉积氧化锑。
4.根据条款3所述的方法,其中沉积所述第一层包括执行所述多个ALD超级循环以及沉积所述第二层包括沉积氧化锑。
5.根据条款1所述的方法,其中所述结是超浅结。
6.根据条款5所述的方法,其中所述超浅结在退火之后具有在4nm至10nm的范围内的深度。
7.根据条款1所述的方法,其中:
所述第一层具有在从2nm至10nm的范围内的厚度,以及
所述第二层具有在从2nm至10nm的范围内的厚度。
8.根据条款2所述的方法,其中N在3至7的范围内,以及M在1至3的范围内。
9.根据条款2所述的方法,其中所述多个ALD超级循环在20至60的范围内。
10.根据条款2所述的方法,其中所述多个ALD超级循环在35至45的范围内。
11.根据条款1所述的方法,其中所述氧化锑在T个ALD循环期间沉积并且其中T在80至100的范围内。
12.根据条款1所述的方法,其还包括蚀刻所述第一层和第二层。
13.根据条款5所述的方法,其中所述衬底包括深宽比大于4:1的沟槽。
14.根据条款5所述的方法,其中所述衬底的所述层包括锗,并且其中所述超浅结中的掺杂水平在所述衬底的所述层的表面处大于或等于1E20原子/cm3,并且在所述衬底的所述层的所述表面下大于或等于1E17原子/cm3。3
15.一种用于处理衬底的方法,其包括:
提供具有包括从由硅(Si)、锗(Ge)和硅锗(Si1-xGex)构成的组中选择的材料的层的衬底,
使用原子层沉积(ALD)在所述衬底的所述层上沉积第一层,其中所述第一层包括磷、锑和氧,以及
退火所述衬底以从所述第一层驱动所述锑和所述磷到所述衬底的所述层内以形成结。
16.根据条款15所述的方法,其中沉积所述第一层包括沉积一个或一个以上的ALD磷单层,一个或一个以上的ALD锑单层和一个或一个以上的ALD氧化物单层。
17.根据条款15所述的方法,其中所述结是超浅结。
18.根据条款17所述的方法,其中,退火之后,所述超浅结具有在从4nm至10nm的范围内的深度。
19.根据条款15所述的方法,其还包括蚀刻所述第一层和所述第二层。
20.根据条款15所述的方法,其中所述衬底包括深宽比大于4:1的沟槽。
根据所述的详细描述、权利要求和附图,本公开的其他应用领域将变得显而易见。本文的详细描述和具体示例仅用于说明的目的,并不意图限制本公开的范围。
附图说明
根据详细描述和附图,将更全面地理解本公开,其中:
图1A-1C是根据本公开的包括包含磷(P)的第一层以及包含采用原子层沉积(ALD)沉积到包括Si、Ge或Si1-xGex的下层上的包括锑(Sb)的第二层的衬底的实施例的侧面剖视图;
图2是图1A-1C的衬底的在进行退火以将P/Sb驱入下层以形成超浅结后的侧面剖视图;
图3是根据本公开的用于采用原子层沉积和退火包括Si、Ge或Si1-xGex的下层的P/Sb共掺杂的方法的实施例的流程图;
图4是根据本公开的采用ALD沉积到包括Si、Ge或Si1-xGex的下层上的PdSbeOf层(其中d、e和f是整数)的衬底的一个实施例的侧视截面图;
图5是退火后图4的衬底的侧视截面图;
图6是根据本公开的使用ALD和退火对包括Si、Ge或Si1-xGex的下层进行P/Sb共掺杂的方法的另一实施例的流程图;
图7示出了下层中磷和锑浓度与深度的函数关系。
在附图中,参考数字可以被重新使用以识别相似的和/或相同的部件。
具体实施方式
根据本公开的系统和方法用于用磷(P)和锑(Sb)共掺杂包含如硅(Si)、锗(Ge)或硅锗(Si1-xGex)的下层。第一层包括氧化锑(Sbx1Oy1)(其中x1和y1是整数),并且第二层包括氧化磷(Px2Oy2)(其中x2和y2是整数)。替代地,第一层包括磷、锑和氧。锑提高了扩散到下层的磷的浓度。使用原子层沉积(ALD)将第一层和第二层沉积在下层上。沉积这些层之后,进行退火。P/Sb被驱入下层以形成超浅结。之后,可以通过蚀刻去除第一层和第二层,并且可以进行衬底的进一步处理。
现在参考图1A-1C,示出了衬底100的实施例。在一些实施例中,衬底100包括诸如沟槽之类的特征101。在图1A中,衬底100包括下层102和双层104。在一些示例中,双层104包括含磷氧化物的第一层110和含氧化锑的第二层114。使用原子层沉积(ALD)将第一层110和第二层114沉积在下层102上。底层102包括诸如硅(Si)、锗(Ge)或硅锗(Si1-xGex)之类的材料。
在一些示例中,第一层110的厚度在2nm至10nm的范围内,但也可以使用其他厚度。在一些示例中,第二层114的厚度在2nm至10nm的范围内,但是也可以使用其他厚度。
在图1B-1C中,第一层110包括多个ALD超循环层118-1、118-2、......、118-S(统称超循环层118)。在图1C中,每个ALD超循环层118包括ALD沉积的N个ALD Px2Oy2层(示为N个ALD Px2Oy2层120-1、120-2、......、120-N)和M个氧化硅(Six3Oy3)层(其中x3和y3是整数)(统称为122),其中N和M是大于零的整数。
在一些示例中,N在3-7的范围内并且M在1-3的范围内,但是可以使用其他值。在一些示例中,使用20至60个ALD超循环层,但可以使用额外的或更少的ALD超循环层。在一些示例中,使用35至45个ALD超循环层,但可以使用更多或更少的ALD超循环层。在一些实例中,使用40个ALD超级循环层,并且每个ALD超级循环层包括Px2Oy2的5个循环和Six3Oy3的1个循环。在一些示例中,使用T个ALD循环沉积第二层114,其中T是在从80至120的范围内的整数。在一些示例中,T=100。
在其他示例中,第一层110包含氧化锑(Sbx1Oy1),并且第二层114包含氧化磷(Px2Oy2)。在这个示例中,可以使用盖层来防止氧化磷的降解。在一些示例中,盖层包括氧化物(诸如氧化硅)。
在一些示例中,第二层114包括多个ALD超循环层(每个ALD超循环层包括如上所述的ALD沉积的的N个ALD Px2Oy2层和M个氧化硅(Six3Oy3)层)。在其他实例中,磷氧化物(Px2Oy2)、氧化锑(SbxOy1)和氧化硅(Six3Oy3)的ALD单层以其他方式变化。例如,ALD单层以每种材料的一个或多个相邻ALD单层层的图案沉积。该模式可以以特定模式重复,以特定方式变化或随机化。
现在参考图2,在退火之后示出衬底100以将P/Sb驱入下层102中并形成超浅结。如150处所示,将P/Sb掺杂剂驱入下层102中。在一些示例中,退火包括闪光灯退火、热退火或快速热处理(RTP)。在一些示例中,RTP在大于800℃的温度下进行。在一些示例中,第一层110和第二层114被去除并且执行附加处理。例如,第一层110和第二层114可以通过蚀刻去除。
现在参考图3,示出了用于用P/Sb共同掺杂包括Si、Ge或Si1-xGex的下层的方法250。在260处,提供包括下层的衬底。下层包括Si、Ge或Si1-xGex。在270处,使用原子层沉积(ALD)在下层上沉积第一层。第一层包括Px2Oy2。在一些示例中,使用多个ALD超级循环来沉积第一层。每个ALD超级循环包括M个Px2Oy2单层和N个Six3Oy3单层的沉积。
在280处,第二层被沉积在第一层上。使用ALD将第二层沉积在第一层上。第二层包括氧化锑,如Sbx1Oy1。在290处,衬底退火以驱动P和Sb进入下层以形成超浅结。
现在参考图4-5,示出了衬底200的示例。在图4中,衬底包括由选自Si、Ge或Si1- xGex中的材料制成的下层210。第一层214由使用ALD沉积并且包括磷锑氧化物(PdSbeOf,其中d、e和f是整数)的材料制成。在图5中,示出了退火后的衬底200。如220所示,将P/Sb掺杂剂驱动到下层210中。P/Sb掺杂形成超浅结。在一些示例中,第一层214被去除并且进行进一步的处理。在一些示例中,通过蚀刻去除第一层214。
现在参考图6,示出了用磷(P)和锑(Sb)共同掺杂包括Si、Ge或Si1-xGex的下层的方法300。在310处,提供包括下层的衬底。下层包括Si、Ge或Si1-xGex。在314处,采用原子层沉积(ALD)在下层上沉积第一层。第一层包括PdSbeOf,其中d、e和f是整数。在318处,执行退火以驱动P和Sb进入下层以在下层中限定超浅结。在一些实例中,磷锑氧化物层可以包括以特定模式重复、变化或随机化的单独的磷、锑和氧化物的ALD单层。
在一些实例中,磷氧化物、氧化锑、氧化硅和/或磷锑氧化物在等离子体增强(PE)ALD衬底处理系统中沉积。在一些示例中,PEALD系统使用电感耦合等离子体(ICP)或电容耦合等离子体(CCP)。在一些实例中,等离子体气体混合物可包括氧化锑的三乙基锑(TESb或SbEt3),但也可使用其他前体。在一些示例中,等离子体气体混合物可包括用于磷氧化物的亚磷酸三乙酯(TEPO)(P(OEt)3),但也可使用其他前体。
在一些示例中,衬底包括诸如沟槽之类的特征。在一些示例中,沟槽具有大于4:1的深宽比。在一些示例中,沟槽具有范围为4-20的深宽比。在一些示例中,沟槽具有约6:1的深宽比。在一些示例中,退火后超浅结的深度在4nm至10nm的范围内。在一些示例中,在950℃持续5秒钟的RTP进行退火后,超浅结的深度为约6nm。在一些示例中,超浅结中的掺杂水平在表面处的Ge中大于或等于1E20原子/cm3并且在表面以下大于或等于1E17原子/cm3
在一些示例中,通过控制层中P和Sb的浓度以及层的相对厚度来控制超浅结中P和Sb的浓度分布。在其他示例中,超浅结中P和Sb的浓度分布由驱入退火的时间-温度分布控制。
在一些示例中,下层包括Ge或具有相对高浓度的Ge的Si1-xGex。在一些示例中,在Si1-xGex中的高浓度Ge包含大于50%(重量)的Si1-xGex中的Ge。在一些示例中,在Si1-xGex中的高浓度Ge包含在Si1-xGex中的大于75%(重量)的Ge。
现在参考图7,图7示出了作为深度函数的磷和锑浓度的示例。衬底包括布置在硅层上的锗层。与通过其他方法如I2P产生的钟形掺杂分布相比,磷和锑具有作为深度的函数的相对受控的降低浓度。
前面的描述本质上仅仅是说明性的,绝非意在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式来实现。因此,尽管本公开包括特定示例,但是本公开的真实范围不应该如此受限制,因为在研究附图、说明书和以下权利要求书后其他修改将变得明显。应该理解的是,在不改变本公开的原理的情况下,方法内的一个或多个步骤可以以不同顺序(或同时)执行。此外,尽管以上将每个实施方式描述为具有某些特征,但是关于本公开的任何实施方式描述的那些特征中的任何一个或多个可以实现为任何其他实施方式的特征和/或与任何其他实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式相互之间的置换仍然在本公开的范围内。
使用各种术语来描述部件(例如,模块、电路元件,半导体层等)之间的空间和功能关系,包括“连接”、“接合”、“耦合”、“相邻”、“邻近”、“在……之上”、“在……之上”、“在……上方”、“在……下面”和“被放置”。除非明确地描述为“直接的”,否则当在以上公开描述的第一和第二部件之间的关系时,在第一和第二部件之间不存在直接关系的其他介入部件,但也可以在第一部件和第二部件之间存在(空间或功能上)一个或多个介入部件的间接关系。如本文所使用的,短语A、B和C中至少一个应该被解释为意指使用非排他性逻辑(OR逻辑)(A或B或C),并且不应该被解释为意指“A中的至少一个,B中的至少一个和C中的至少一个”。

Claims (19)

1.一种处理衬底的方法,其包括:
提供具有包括从由硅(Si)、锗(Ge)和硅锗(Si1-xGex)构成的组中选择的材料的层的衬底,
使用原子层沉积在所述衬底的所述层上沉积第一层,
使用原子层沉积在所述第一层上沉积第二层,
其中沉积所述第一层和所述第二层中的一者包括沉积氧化磷,以及沉积所述第一层和所述第二层中的另一者包括沉积氧化锑,以及
执行在沉积所述第二层之后顺序退火所述衬底和在所述第二层上沉积盖层之后顺序退火所述衬底中的一者以从所述第一层和所述第二层驱动锑和磷进入所述衬底的所述层以形成结,
其中,沉积所述第一层和所述第二层中的所述一者包括执行多个原子层沉积超级循环,并且其中所述多个原子层沉积超级循环中的每一个包括沉积N个氧化磷层和M个氧化硅层,其中M和N是大于零的整数。
2.根据权利要求1所述的方法,其中沉积所述第一层包括执行所述多个原子层沉积超级循环,以及沉积所述第二层包括沉积氧化锑。
3.根据权利要求1所述的方法,其中沉积所述第二层包括执行所述多个原子层沉积超级循环以及沉积所述第一层包括沉积氧化锑。
4.根据权利要求1所述的方法,其中所述结是超浅结。
5.根据权利要求4所述的方法,其中所述超浅结在退火之后具有在4nm至10nm的范围内的深度。
6.根据权利要求1所述的方法,其中:
所述第一层具有在从2nm至10nm的范围内的厚度,以及
所述第二层具有在从2nm至10nm的范围内的厚度。
7.根据权利要求1所述的方法,其中N在3至7的范围内,以及M在1至3的范围内。
8.根据权利要求1所述的方法,其中所述多个原子层沉积超级循环在20至60的范围内。
9.根据权利要求1所述的方法,其中所述多个原子层沉积超级循环在35至45的范围内。
10.根据权利要求1所述的方法,其中所述氧化锑在T个原子层沉积循环期间沉积并且其中T在80至100的范围内。
11.根据权利要求1所述的方法,其还包括蚀刻所述第一层和第二层。
12.根据权利要求4所述的方法,其中所述衬底包括深宽比大于4:1的沟槽。
13.一种处理衬底的方法,其包括:
提供具有包括从由硅(Si)、锗(Ge)和硅锗(Si1-xGex)构成的组中选择的材料的层的衬底,
使用原子层沉积在所述衬底的所述层上沉积第一层,
使用原子层沉积在所述第一层上沉积第二层,
其中沉积所述第一层和所述第二层中的一者包括沉积氧化磷,以及沉积所述第一层和所述第二层中的另一者包括沉积氧化锑,以及
退火所述衬底以从所述第一层和所述第二层驱动锑和磷进入所述衬底的所述层以形成结,
其中所述衬底的所述层包括锗,并且其中所述结中的掺杂水平在所述衬底的所述层的表面处大于或等于1E20原子/cm3,并且在所述衬底的所述层的所述表面下大于或等于1E17原子/cm3
14.一种用于处理衬底的方法,其包括:
提供具有包括从由硅(Si)、锗(Ge)和硅锗(Si1-xGe x)构成的组中选择的材料的层的衬底,
使用原子层沉积在所述衬底的所述层上沉积第一层,其中所述第一层包括磷、锑和氧,以及
在沉积所述第一层顺序退火所述衬底以从所述第一层驱动所述锑和所述磷到所述衬底的所述层内以形成结,
其中沉积所述第一层包括沉积一个或一个以上的原子层沉积磷单层,一个或一个以上的原子层沉积锑单层和一个或一个以上的原子层沉积氧化物单层。
15.根据权利要求14所述的方法,其中所述结是超浅结。
16.根据权利要求15所述的方法,其中,退火之后,所述超浅结具有在从4nm至10nm的范围内的深度。
17.根据权利要求14所述的方法,其还包括蚀刻所述第一层。
18.根据权利要求14所述的方法,其中所述衬底包括深宽比大于4:1的沟槽。
19.根据权利要求15所述的方法,其中所述衬底的所述层包括锗,并且其中所述超浅结中的掺杂水平在所述衬底的所述层的表面处大于或等于1E20原子/cm3,并且在所述衬底的所述层的所述表面下大于或等于1E17原子/cm3
CN201810585996.5A 2017-06-08 2018-06-08 一种处理衬底的方法 Active CN109037043B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762516933P 2017-06-08 2017-06-08
US62/516,933 2017-06-08
US15/963,270 2018-04-26
US15/963,270 US10522354B2 (en) 2017-06-08 2018-04-26 Antimony co-doping with phosphorus to form ultrashallow junctions using atomic layer deposition and annealing

Publications (2)

Publication Number Publication Date
CN109037043A CN109037043A (zh) 2018-12-18
CN109037043B true CN109037043B (zh) 2024-03-29

Family

ID=64564251

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810585996.5A Active CN109037043B (zh) 2017-06-08 2018-06-08 一种处理衬底的方法

Country Status (4)

Country Link
US (2) US10522354B2 (zh)
KR (1) KR20180134294A (zh)
CN (1) CN109037043B (zh)
TW (1) TW201920747A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10964536B2 (en) * 2019-02-06 2021-03-30 Micron Technology, Inc. Formation of an atomic layer of germanium in an opening of a substrate material having a high aspect ratio

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103477419A (zh) * 2011-03-31 2013-12-25 东京毅力科创株式会社 用于通过固相扩散形成超浅掺杂区域的方法
CN103972060A (zh) * 2013-01-28 2014-08-06 台湾积体电路制造股份有限公司 超浅结的制造
CN104733285A (zh) * 2013-12-24 2015-06-24 中国科学院微电子研究所 在半导体衬底表面制备锌掺杂超浅结的方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3920882A (en) * 1973-04-16 1975-11-18 Owens Illinois Inc N-type dopant source
US3923563A (en) * 1973-04-16 1975-12-02 Owens Illinois Inc Process for doping silicon semiconductors using an impregnated refractory dopant source
US6506653B1 (en) * 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US20070212861A1 (en) * 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
US7294543B2 (en) * 2006-03-22 2007-11-13 International Business Machines Corporation DRAM (Dynamic Random Access Memory) cells
US7807556B2 (en) * 2006-12-05 2010-10-05 General Electric Company Method for doping impurities
KR100873019B1 (ko) 2007-07-13 2008-12-10 주식회사 하이닉스반도체 필링 방지를 위한 본딩패드 및 그 형성 방법
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8569158B2 (en) * 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
EP2718962A1 (en) * 2011-06-10 2014-04-16 Massachusetts Institute Of Technology High-concentration active doping in semiconductors and semiconductor devices produced by such doping
US9306010B2 (en) * 2012-03-14 2016-04-05 Infineon Technologies Ag Semiconductor arrangement

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103477419A (zh) * 2011-03-31 2013-12-25 东京毅力科创株式会社 用于通过固相扩散形成超浅掺杂区域的方法
CN103972060A (zh) * 2013-01-28 2014-08-06 台湾积体电路制造股份有限公司 超浅结的制造
CN104733285A (zh) * 2013-12-24 2015-06-24 中国科学院微电子研究所 在半导体衬底表面制备锌掺杂超浅结的方法

Also Published As

Publication number Publication date
TW201920747A (zh) 2019-06-01
US20200126795A1 (en) 2020-04-23
US20180358228A1 (en) 2018-12-13
CN109037043A (zh) 2018-12-18
KR20180134294A (ko) 2018-12-18
US10522354B2 (en) 2019-12-31
US10770297B2 (en) 2020-09-08

Similar Documents

Publication Publication Date Title
KR102263121B1 (ko) 반도체 소자 및 그 제조 방법
US20160133628A1 (en) Semiconductor structure and device and methods of forming same using selective epitaxial process
US9401410B2 (en) Poly sandwich for deep trench fill
TWI733850B (zh) 使用沉積/蝕刻技術之無接縫溝道填充
US20170110321A1 (en) Conformal doping in 3d si structure using conformal dopant deposition
TW202142732A (zh) 用於均勻且共形之混成氧化鈦薄膜的沉積方法
US9570562B1 (en) Method of planarizing polysilicon gate
CN109037043B (zh) 一种处理衬底的方法
Hartmann et al. Low temperature boron and phosphorous doped SiGe for recessed and raised sources and drains
US9721851B2 (en) Silicon-germanium fin formation
US8710626B2 (en) Semiconductor device having trapezoidal shaped trenches
CN107068609A (zh) 针对沟槽原位掺杂然后未掺杂多晶硅填充料
US20120248436A1 (en) Reduced pattern loading for doped epitaxial process and semiconductor structure
US9911660B2 (en) Methods for forming germanium and silicon germanium nanowire devices
CN106816370A (zh) 一种半导体器件的制造方法
CN105845575A (zh) 一种半导体器件的制作方法
KR20230026527A (ko) 도핑 기법들
JP5952475B1 (ja) 拡散ウエハ及びその製造方法
KR102094681B1 (ko) iCVD 공정을 이용한 반도체 구조체의 도핑방법
US8426282B2 (en) Method for forming semiconductor substrate isolation
JP6496280B2 (ja) 拡散ウエハの製造方法
TW201349296A (zh) 兩面不同摻雜之半導體晶圓的製造方法
CN117373907A (zh) 一种半导体结构的制造方法
JP5720244B2 (ja) 半導体基板の製造方法及び半導体装置の製造方法
CN107305865A (zh) 一种半导体器件及其制造方法和电子装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant