CN108807219A - 用于半导体器件制造的系统和方法 - Google Patents

用于半导体器件制造的系统和方法 Download PDF

Info

Publication number
CN108807219A
CN108807219A CN201810161978.4A CN201810161978A CN108807219A CN 108807219 A CN108807219 A CN 108807219A CN 201810161978 A CN201810161978 A CN 201810161978A CN 108807219 A CN108807219 A CN 108807219A
Authority
CN
China
Prior art keywords
layer
mandrel
hard mask
mask layer
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810161978.4A
Other languages
English (en)
Inventor
许耀文
连建洲
杨能杰
陈冠霖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108807219A publication Critical patent/CN108807219A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5036Azeotropic mixtures containing halogenated solvents
    • C11D7/504Azeotropic mixtures containing halogenated solvents all solvents being halogenated hydrocarbons
    • C11D7/505Mixtures of (hydro)fluorocarbons
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

公开了用于半导体器件制造的系统和方法。用于去除含碳硅材料(例如等离子体残留物)或含氮硅材料(例如等离子体残留物)的半水性湿法清洁系统和方法包括羟基封端的有机化合物、二醇和氟离子供体材料。该系统被配置为在干法蚀刻后的湿法清洁期间保护氧化硅和非晶硅。该湿法清洁系统被配置为选择性地去除含碳或含氮的等离子体残留物。该湿法清洁系统的pH值可以被修改以调整用于去除含碳或含氮等离子体残留物的选择性。结果,可以实现小于约3纳米的正TEOS凹陷。此外,该湿法清洁系统可以被改变用于回收和后续的再利用。

Description

用于半导体器件制造的系统和方法
技术领域
本发明实施例涉及用于半导体器件制造的系统和方法,尤其涉及选择性地去除含碳或含氮硅残留物。
背景技术
随着半导体器件的尺寸不断缩小,各种处理技术(例如光刻)已经被改变,以允许制造尺寸越来越小的器件;然而,随着工艺窗口的变小,这些器件的制造已超过了光刻的理论极限。随着半导体器件不断缩小,器件的元件之间的期望间隔已变得小于能够使用传统光学掩模和光刻技术制造的间距(pitch)。
发明内容
在一个方面,本申请提供了一种用于半导体器件制造的系统,包括:至少部分含水的系统,其被配置用于去除含碳硅残留物或含氮硅残留物中的至少一种,该至少部分含水的系统包括:羟基封端的有机材料;和氟离子供体材料。
在另一个方面,本申请提供了一种用于半导体器件制造的方法,包括:蚀刻半导体器件层,其中蚀刻产生含碳硅残留物或含氮硅残留物中的至少一种;并且在蚀刻半导体器件层之后,利用清洁系统清洁半导体器件层以至少部分去除含碳硅残留物或含氮硅残留物中的至少一种,清洁系统可以包括:水;羟基封端的有机材料;二醇;和氟离子供体材料。
在又一个方面,本申请提供了一种用于半导体器件制造的方法,包括:用等离子体干法蚀刻半导体结构,半导体结构包括非晶硅或氧化硅中的至少一种,等离子体包括CO2、CxHyFz或N2中的至少一种,其中干法蚀刻产生含碳硅材料或含氮硅材料中的至少一种;并且在干法蚀刻半导体结构之后,用至少部分含水的系统减少含碳硅材料或含氮硅材料中的至少一种的浓度,至少部分含水的系统可以包括:羟基封端的有机材料;二醇;和氟离子供体材料。
附图说明
为了更完整地理解代表性实施例及其优点,现参考以下结合附图的描述,在附图中:
图1A、1B、2A、2B、3A、3B、4A、4B、5A、5B、6A、6B、7A、7B、8A、8B、9和10是根据实施例的半导体器件图案化中的中间阶段的俯视图和截面图;
图11A、11B、12A、12B、13A、13B、14A、14B、15A和15B是根据另一实施例的半导体器件图案化中的中间阶段的俯视图和截面图;
图16示出了根据实施例的用于去除含碳或含氮硅残留物的代表性湿法清洁方法。
具体实施方式
将参考附图中示出的代表性实施例。只要可能,在附图和描述中使用相同的附图标记来指代相同或相似的部件。为了清楚或便于描述,形状、尺寸和厚度可能被夸大。本描述针对形成根据本公开的方法和系统的一部分或更直接地与其协作的特定元件。应当理解,没有具体示出或描述的元件可以采用本领域技术人员公知的各种形式。本领域技术人员一旦得知本公开,许多替代和修改将是显而易见的。
在整个说明书中对“一个实施例”或“实施例”的引用意味着结合所指示的实施例描述的特定特征、结构或特性被包括在至少一个实施例中。因此,贯穿本说明书的各处出现的短语“在一个实施例中”或“在实施例中”不一定指相同的实施例。此外,特定的特征、结构或特性可以按任何合适的方式被组合在一个或多个实施例中,以得到替代的、结合的或顺序的实施例。应当理解,这些图不是按比例绘制的;相反,这些图仅仅是用于代表性的说明。
随着集成电路器件的尺寸越来越小,光学邻近效应对于将图案从光刻掩模转移到晶圆提出了更大的挑战。当两个离散特征间隔非常紧密且该间隔在用于图案成像的电磁辐射的波长的量级时,光学邻近效应可能导致相邻的特征彼此之间短路。双重图案化技术已被引入用于增强特征限定(feature definition)以应对这些挑战。双图案双蚀刻(2P2E)就是这样一种技术。
在2P2E处理中,将紧密间隔的特征分成两个(或多个)光刻掩模,其中使用多个光刻掩模来曝光相同的光致抗蚀剂或两种不同的光致抗蚀剂,以使得紧密间隔的特征的图案可以被转移到同一层。在每个双重图案化光刻掩模中,特征之间的距离被增大到超过单一图案掩模中的特征之间的距离,以便适当地大于用于曝光图案的电磁辐射的波长。在使用两个光刻掩模的情况下,复合成像的分辨率有效地增加了一倍。因此,多重图案化光刻掩模中的距离通常大于光学邻近效应开始时的阈值距离;并因此大大减少了与这种效应相关的挑战。
将参考用于选择性地去除含碳和含氮硅残留的系统和方法来描述实施例,该含碳和含氮硅残留由参与多图案化半导体器件的制造的干法蚀刻处理所产生。通过在半导体器件层上将多个图案转移到多个硬掩模层来图案化半导体器件层,从而来制造代表性的半导体器件。图案中的至少一个图案包括保形地沉积在芯轴(mandrel)上的侧壁对齐的间隔件。
图1A至图10是根据实施例的图案化半导体器件100中的中间阶段的截面图。图1A和1B分别示出处于处理的中间阶段的半导体器件100的俯视图和截面图。图1B是沿图1A中的线A-A的截面图。图2B到8B和图11B到15B也是在各个相应的俯视图(图2A到8A和图11A到15A)中沿相同线A-A的截面图,尽管在这些后续的图中没有示出线A-A。
参考图1A和1B,半导体器件100包括可选的蚀刻停止层(ESL)20、半导体器件层22、抗反射涂层(ARC)24、硬掩模层26、28、30和32以及在硬掩模层26-32上的三层光致抗蚀剂40。在一些实施例中,硬掩模层26可以包括氮化钛,硬掩模层28可以包括TEOS,芯轴层30可以包括非晶硅,并且芯轴层32可以包括氮化硅。在实施例中,硬掩模层26是氧化钛。在另一实施例中,硬掩模层28是SiOxCy。在另一实施例中,芯轴层30是AlOxNy。在另一实施例中,芯轴层32是SiON。
半导体器件层22是要被图案化的层。在一些实施例中,半导体器件层22是用于金属线的金属层并且由铜、铝等或其组合制成。在其它实施例中,半导体器件层22可以是电介质层,例如低k电介质层、聚合物层等。在另一些其它实施例中,半导体器件层22是衬底,并且由半导体材料(例如硅、锗、金刚石等)制成。替代地或相结合地,可以使用复合材料,例如硅锗、碳化硅、砷化镓、砷化铟、磷化铟、碳化硅锗、磷砷化镓、磷化镓铟、这些的组合等。在半导体器件层22是衬底的实施例中,可以省略ESL 20。在半导体器件层22不是衬底的实施例中,衬底(未示出)可以设置在可选ESL 20下方。设置在可选ESL 20下方的衬底(未示出)可以由与上述用于半导体器件层22的材料类似的材料形成。
设置在可选ESL 20下方的衬底(未示出)或者在半导体器件层22是衬底的实施例中的衬底可以包括有源和无源器件(未示出)。如本领域技术人员将认识到的,可以使用各种器件(例如晶体管、电容器、电阻器、这些的组合等)来提供用于半导体器件100的设计的结构或功能特征。可以使用任何合适的方法来形成有源和无源器件,无论是现在已知的还是今后在本领域中得到的方法。
ARC 24可以形成在半导体器件层22上。ARC 24防止或以其他方式减少后续的光刻工艺中的辐射从下面的层反射回来并干扰曝光。这种干扰可能增大光刻工艺的关键尺寸。ARC 24可以替代地被称为抗反射层(ARL)24。在一些实施例中,ARC 24包括无氮ARC(NFARC)24,并且可以由富硅氧化物(SRO)、碳氧化硅等或其组合来形成。在一些实施例中,通过化学气相沉积(CVD)、等离子体增强CVD(PECVD)等或其组合来形成ARC 24。
硬掩模层26、28、30和32被形成在ARC 24上。在实施例中,硬掩模层26包括金属硬掩模层,并且硬掩模层28、30和32包括电介质硬掩模层。在后续的处理步骤中,使用各种光刻和蚀刻技术将图案转移到硬掩模层26。硬掩模层26然后可以被用作用于蚀刻下面的ARC24和半导体器件层22的图案化掩模。在代表性的方面中,硬掩模层26可以包括掩模材料,例如氮化钛、氧化钛等或其组合之类。硬掩模层26可以使用例如CVD、物理气相沉积(PVD)、原子层沉积(ALD)等或其组合之类的工艺来形成。在实施例中,硬掩模层26可以形成为具有大约100埃到大约500埃的厚度。
硬掩模层28可以沉积在硬掩模层26上。硬掩模层28可以用作硬掩模层26的掩模图案。在后续的处理步骤中,硬掩模层28被用多个图案(参见例如图8A和8B)图案化,然后这些图案可以被转移到硬掩模层26。硬掩模层28可以包括掩模材料,例如原硅酸四乙酯(TEOS)、SiOxCy等或其组合之类。可以使用例如CVD、ALD等或其组合之类的工艺来形成硬掩模层28。在实施例中,硬掩模层28可以形成为具有大约100埃到大约1000埃的厚度。
硬掩模层30形成在硬掩模层28上。硬掩模层30可以用于形成芯轴30’(参见例如图5B),并且在下文中将被称为芯轴层30。芯轴层30可以包括掩模材料,例如非晶硅、或者可被图案化并且被选择性地去除的任何其它材料。可以使用例如CVD、ALD等或其组合之类的工艺来形成芯轴层30。在实施例中,硬掩模层30可以形成为具有大约100埃到大约1000埃的厚度。
硬掩模层32形成在芯轴层30上。硬掩模层32可以用于形成芯轴32’(参见例如图2B),并且在下文中将被称为芯轴层32。芯轴层32可以包括掩模材料,例如氮化硅、氮氧化硅等或其组合、或者可被图案化并且被选择性地去除的任何其他材料。可以使用例如CVD、ALD等或其组合之类的工艺来形成芯轴层32。在实施例中,硬掩模层32形成为具有大约100埃到大约1000埃的厚度。
三层光致抗蚀剂40形成在芯轴层32上。三层光致抗蚀剂40包括顶部光致抗蚀剂层38、中间层36和底部层34。随着先进的半导体制造工艺达到了光刻工艺的极限,需要更薄的顶部光致抗蚀剂层来实现更小的工艺窗口。然而,薄顶部光致抗蚀剂层可能不够坚固以支持目标层(例如,芯轴层32)的蚀刻。三层光致抗蚀剂提供相对较薄的顶部光致抗蚀剂层38。中间层36可以包括抗反射材料(例如,背面抗反射涂敷(BARC)层)以帮助处理对顶部光致抗蚀剂层38进行成像的曝光和聚焦。通过包含中间层36,薄顶部光致抗蚀剂层38仅被用于图案化中间层36。底部层34可以包括硬掩模材料,例如氮化物(例如,SiON)之类。在另一实施例中,层的替代排序可以包括硬掩模层在BARC层上且光致抗蚀剂层在硬掩模层上。
中间层36用于图案化底部层34。在一些实施例中,中间层36相对于底部层34具有较高的蚀刻选择性,并且在一些实施例中,底部层34可以比中间层36厚十多倍。因此,三层光致抗蚀剂40允许实现下面的层(例如,芯轴层32)的坚固图案化,同时仍然提供相对薄的顶部光致抗蚀剂层38。
可以使用任何合适的光刻技术来图案化顶部光致抗蚀剂层38。例如,可以将光掩模(未示出)设置在顶部光致抗蚀剂层38上,然后可以将其暴露于电磁辐射波束(例如,紫外线(UV)或准分子激光,例如来自氟化氪(KrF)准分子激光器的248nm波束、或者来自氩氟化物(ArF)准分子激光器的193nm波束)。可以使用浸没式光刻系统来实现顶部光致抗蚀剂层38的曝光以提高分辨率并且减小最小可实现的间距。可以执行烘烤或固化操作以硬化顶部光致抗蚀剂层38,并且可以使用显影剂来去除顶部光致抗蚀剂层38的曝光或未曝光部分(取决于是使用正型还是负型抗蚀剂)。因此,可以在顶部光致抗蚀剂层38中形成图案,例如图1A和1B中代表性地示出的图案,包括顶部光致抗蚀剂层38中的两个开口,每个开口具有宽度W1。两个开口被隔开宽度W2。根据要应用到半导体器件层22的图案的期望间隔或宽度(参见例如图9)来描述宽度W1、W2和后续图中的宽度。在实施例中,宽度W1约为期望间隔的五倍,宽度W2约为期望间隔的三倍。在代表性实施例中,期望的间隔和宽度值可以是大约16nm。在该示例中,所得到的间距将是32nm(参见例如图8A和8B),宽度W1将是约80nm,并且宽度W2将是约48nm。
图1A和图1B示出了光致抗蚀剂38中的两个开口,但是取决于期望的间隔件52的数量,可以存在更多或更少的开口(参见例如图8B)。另外,尽管图8A和图8B中的宽度和间隔基本相等,但是可以存在间隔件52的宽度和间隔不相等的其它替代、结合或顺序的实施例。
图2A和图2B示出了芯轴层32已经被图案化以形成开口和剩余的芯轴部分32’之后所得到的结构。在对顶部光致抗蚀剂层38进行显影和图案化之后,图案分别被转移到中间层36和底部层34。图案可以例如通过一个或多个选择性蚀刻工艺来转移。在选择性蚀刻之后,例如可以通过修整工艺(例如,各向异性等离子体蚀刻工艺)去除顶部光致抗蚀剂层38和中间层36。在一些实施例中,底部层34的部分在修整工艺期间也被去除,以获得用于后续蚀刻步骤的更稳定的高宽比。在代表性实施例中,使用底部层34作为图案化掩模来蚀刻芯轴层32以形成芯轴32’。在这样的实施例中,例如用湿法清洁工艺去除底部层34的剩余部分。
在另一实施例中,可以省略修剪工艺,并且使用三层光致抗蚀剂40的层38、36和34对芯轴层32进行图案化以形成剩余的芯轴部分30’。在一些实施例中,通过使用包括O2、CO2、CxHyFz、Ar、N2、H2等或其组合的蚀刻工艺气体的干法蚀刻工艺对芯轴层32进行图案化。如在图2A和2B中代表性地示出的,芯轴32’形成为具有宽度W2
在芯轴32’形成之后,在芯轴32’和芯轴层30上形成间隔层(未示出)。在实施例中,间隔层保形地沉积在芯轴32’和芯轴层30上,使得在芯轴层30的上表面和芯轴32’的侧壁上的间隔层的厚度基本上是相同的厚度。在一些实施例中,间隔层包括含金属的间隔层,并且由氮化钛、氧化钛等或其组合制成。间隔层的材料被选择为相对于芯轴层30具有较高的蚀刻选择性,使得后续的蚀刻步骤可以在不会侵蚀芯轴层30的情况下在间隔层上完成。可以通过例如ALD、CVD、PVD等或其组合之类的工艺来沉积间隔层,但是任何可接受的工艺都可以被用来形成约50埃到约250埃的厚度的间隔层。另外,可以选择间隔层的厚度以确定最终形成在半导体器件层22中的特征的厚度。
在芯轴32’上形成间隔层之后,可以蚀刻间隔层以暴露芯轴32’并形成间隔件42。在实施例中,间隔件42可以包括氧化钛。在另一实施例中,间隔件42是氮化钛。间隔层的顶部可以被各向异性地蚀刻以暴露下面的芯轴32’和芯轴层30以形成间隔件42。间隔件42沿着芯轴层32的开口中的芯轴32’的侧壁形成。在实施例中,用于蚀刻间隔层的顶部的蚀刻剂可以包括Cl2、O2、CxHyFz、N2、H2等、其组合或适当地配置用于去除间隔层的上表面的任何蚀刻剂。在实施例中,间隔件42形成为具有期望间距量级的宽度W5以及具有约为期望间距的三倍的量级的相邻间隔件42之间的宽度W3。在一些实施例中,宽度W2和W3可以基本上相等。
在形成间隔件42之后,三层光致抗蚀剂50形成在间隔件42和芯轴32’上,如在图3A和3B中代表性地示出的。三层光致抗蚀剂50可以基本上类似于三层光致抗蚀剂40,并且可以包括相对薄的顶部光致抗蚀剂层48、中间层46(例如,BARC)和底部层44(例如,硬掩模材料)。
例如可以通过使用包括辐射波束(例如,来自KrF准分子激光器的248nm波束或来自ArF准分子激光器的193nm波束)的浸没式光刻系统来图案化顶部光致抗蚀剂层48以曝光顶部光致抗蚀剂层48的部分并且显影曝光/未曝光部分(取决于使用正型或负型光致抗蚀剂)。因此,可以在顶部光致抗蚀剂层48中形成图案,例如图3A和3B中代表性地示出的图案,包括顶部光致抗蚀剂层48中的三个开口,开口由宽度为W4的顶部光致抗蚀剂的一部分隔开。在一个代表性实施例中,宽度W4是期望间距的大约三倍到大约五倍,例如期望间距的大约四倍。顶部光致抗蚀剂层48的图案被用来掩盖暴露在间隔件42之间的芯轴层30的部分,使得可以通过后续的蚀刻工艺去除上层芯轴32’。因此,宽度W4应当大于或等于芯轴层30的暴露部分的宽度W3,并且宽度W4应当小于宽度W3加上芯轴层30的暴露部分周围的间隔件42的宽度。在一些实施例中,在顶部光致抗蚀剂层48中形成的开口也具有宽度W4。图3A和图3B中代表性地示出的图案仅用于说明的目的,并且可以根据半导体器件100的特定设计形成不同的图案。
图4A和4B代表性地示出了去除暴露在顶部光致抗蚀剂层48的开口中的上部芯轴32’(参见例如图3A和3B)。通过顶部光致抗蚀剂层48对三层光致抗蚀剂50的中间层46和底部层44进行图案化,使得芯轴32’的上表面被暴露。在实施例中,利用包括O2、CO2、CxHyFz、Ar、N2、H2等、其组合或适当地配置用于在不损坏间隔件42的情况下去除芯轴32’的任何其他蚀刻剂的蚀刻工艺气体,通过干法蚀刻工艺来图案化暴露的芯轴32’。
图5A和5B代表性地示出了利用间隔件42来图案化芯轴层30以形成芯轴30’。在一些实施例中,使用包括O2、CO2、CxHyFz、Ar、N2、H2等或其组合的蚀刻处理气体,通过干法蚀刻工艺来图案化芯轴层30。如图5A和5B所示,芯轴30’被形成为具有宽度W5,并且相邻的芯轴30’被宽度W3隔开。在芯轴层30包含非晶硅并且CO2、CxHyFz或N2被用作干法蚀刻处理气体来形成芯轴30’的情况下,含碳(例如由CO2或CxHyFz等离子体处理产生)或含氮(例如由N2等离子体处理产生)硅残留物可能被形成在芯轴30’或硬掩模层28的表面部分上或表面部分中。可以根据代表性实施例来清洁这种残留物。
在芯轴层30包含非晶硅并且CO2、CxHyFz或N2被用作干法蚀刻处理气体来形成芯轴30’的情况下,含碳(例如由CO2或CxHyFz等离子体处理产生)或含氮(例如由N2等离子体处理产生)硅残留物可能被形成在芯轴30’或硬掩模层28的表面部分上或表面部分中。通常在后续处理中去除或以其他方式清洁这些残留物。然而,传统的去除/清洁方法不适合于选择性去除或清洁由干法蚀刻产生的残留物质。而且,传统的清洁系统通常会产生聚合物残留物,这可能妨碍清洁系统的回收以用于后续的额外使用。
在代表性实施例中,可以使用一种或多种羟基封端的化合物与含碳硅材料(SiCx)或含氮硅材料(SiNy)结合,接着用氟离子(F-)去除SiCx或SiNy)。在代表性的方面中,羟基封端的化合物可以被适当地改变或以其他方式配置为键合到(例如芯轴30的)硅或氧化硅配位位点。例如,根据代表性地公开的实施例的羟基封端的化合物可以用作期望不被去除的材料的保护基团或部分,例如非晶硅(ɑ-Si)、氧化硅(SiOz)等。因此,与传统方法相比,用F-去除SiCx或SiNy可以以更选择性的方式进行,使得SiCx或SiNy的去除速率大于例如ɑ-Si、SiOz等的去除速率。
浓度小于约1摩尔的稀水氢氟酸的离解通常由下列平衡式描述:
HF←→H++F-:Ka为大约6.85E-04
HF+F-←→HF- 2:K1为大约5.0
这里速率常数R由下式给出:
R=A[HF]+B[HF- 2]+C
氧化硅的蚀刻通常根据下式进行:
SiO2+6HF←→H2SiF6+2H2O
因为HF- 2是SiO2的蚀刻剂物种,所以根据LeChatlier的原理,通过降低HF离解可以抑制HF- 2的形成。相比之下,在包含乙醇的稀水氢氟酸中,HF和HF- 2占主导;而在浓HF溶液中,HF和高阶共轭聚合物种(poly-homoconjugated species)(HF)nF-占主导。
下表提供了不同氢氟酸溶液中各种氟化物物种的比例:
HF←→H++F-:K=6.85E-4
HF+F-←→HF- 2:K=3.963
2HF←→H2F2:K=2.7
在一个代表性方面中,羟基封端的硅位点(site)的氧化物蚀刻可以根据经由氢键合到硅位点的羟基部分的HF- 2离子配位而进行。此后,脱水反应留下氟原子代替脱水时被置换的羟基部分,并且留下溶液中的氟离子。
在另一个代表性方面中,羟基封端的硅位点的氧化物蚀刻可以根据经由氢键合到硅位点的羟基部分的H2F2配位而进行。此后,脱水反应留下氟原子代替脱水时被置换的羟基部分,并留下溶液中的氢氟酸(HF)。
在代表性实施例中,氟离子攻击Si-OC键(例如在非晶硅特征的表面;例如芯轴层30)以形成H2SiF6,随后用水去除H2SiF6。溶剂的羟基团(-OH)保护TEOS表面上的Si-OH键。
根据各个方面,代表性的羟基封端的化合物可以包括以下一种或多种:醇、二醇、醛、羧酸、多个醇、多个二醇、多个醛、多个羧酸、1-(2-羟乙基)-2-吡咯烷酮、2-(羟甲氧基)乙醇、乙二醇、丙二醇、二甘醇、其组合等。代表性的组合可以包括1-(2-羟乙基)-2-吡咯烷酮和2-(羟甲氧基)乙醇。另一种代表性的组合可以包括1-(2-羟乙基)-2-吡咯烷酮和二甘醇。另一种代表性的组合可以包括2-(羟甲氧基)乙醇和二甘醇。在另一实施例中,羟基封端的化合物可以作为二醇组分、羟基封端的组分或者羟基封端的组分和二醇组分(例如二甘醇)两者而用于代表性的湿法清洁系统。在一些实施例中,湿法清洁系统的二醇组分也可以用作湿法清洁系统的羟基封端的化合物,或者羟基封端的化合物也可以用作湿法清洁系统的二醇组分。
根据其他代表性方面,氟离子供体材料可以包括AF和AHF2中的一种或多种,其中‘A’表示氨、胺或其它阳离子,并且其中氟离子供体材料被配置为建立以下平衡式:
可以通过改变清洁系统的pH来改变产生F-和HF- 2的相对离解速率。因此,用于去除或以其他方式清洁含碳或含氮硅残留物的亲和力(affinity)可以被调整以最小化例如非晶硅、氧化硅等的去除。例如,代表性的半水性清洁系统可以具有约4.5至约5.5之间的pH(例如,氟化物比率可以在约5.3的pH下用单乙醇胺(MEA)缓冲,在约30℃至约70℃之间的温度下,清洁时间在约60秒至约600秒之间。下面是所提出的利用MEA缓冲的机制:
NH2(CH2)2OH+H2O→(NH3)+OH(CH2)2OH-
(NH3)+OH(CH2)2OH-→(NH3)+(CH2)2OH+OH-
表1提供了使用变化的水比率的代表性半水性清洁系统的数据。清洁系统样品包含约5%重量/重量(wt/wt)至约30%(wt/wt)之间的羟基封端的化合物(例如1-(2-羟乙基)-2-吡咯烷酮)、约2%(wt/wt)至约15%(wt/wt)之间的二醇化合物(例如二甘醇)、约0.2%(wt/wt)至约0.5%(wt/wt)之间的氟离子供体材料(例如作为氟化铵和氟化氢铵的组合)以及约25%(wt/wt)至约60%(wt/wt)之间的水。在代表性实施例中,氟化铵与氟化氢铵的比例(wt/wt)在约0.5至约10之间。
表1
表1中的去除率是指干法蚀刻处理之后含碳硅残留物的湿法清洁去除率。从表1中可以看出,就TEOS材料的标称凹陷(recession)(例如0.1~0.5纳米)和非晶硅的最小关键尺寸损失(例如1.5~2纳米)以及大约45%的水比例而言,得到了1.56的选择性(非晶硅/TEOS)。
以下元素丰度数据对应于经过含碳等离子体蚀刻并且随后用根据代表性实施例的半水性清洁系统清洁的非晶硅材料的X射线光电子能谱(XPS)分析:1.3%碳(C)、62.8%氧(O)、0.4%氟(F)和35.5%硅(Si)。在干法蚀刻之后,但在用半水性清洁系统清洁之前,非晶硅材料的XPS分析给出:8.2%C、59.6%O、1.2%F和31.0%Si。因此,半水性清洁系统将碳的量减少了大约6.3倍。
以下元素丰度数据对应于经过含碳等离子体蚀刻并且随后用根据代表性实施例的半水性清洁系统清洁的TEOS材料的XPS分析:1.5%C、64.9%O、0.9%F和32.7%Si。在干法蚀刻之后,但在用半水性清洁系统清洁之前,TEOS材料的XPS给出:4.7%C、62.2%O、1.5%F和31.6%Si。因此,半水性清洁系统将碳的量减少了大约3.1倍。
对于在TEOS层上通过PVD形成的非晶硅芯轴,其中芯轴用含碳等离子体进行干法蚀刻,随后用根据代表性实施例的半水性清洁系统清洁约240秒的持续时间,TEOS凹陷/损失被测量为约2.66nm。因此,各种代表性方面可包括实现小于约3nm的正TEOS凹陷/损失的能力。此外,晶圆级关键尺寸均匀性被提高了约8倍。
在一个代表性的方面中,本文公开的水性或半水性湿法清洁系统可以被适当地配置或以其它方式改变以提供用于控制或以其它方式改变化学稳定性的适当条件。例如,在代表性实施例中,可以使用缓冲剂(例如,MEA)来设定或限制与期望的pH的偏离。在另一个代表性的方面中,本文公开的水性或半水性系统在润湿性或溶解性方面提供了改善。在其它代表性的方面中,本文一般性地公开的系统和方法提供了用于后续使用的湿法清洁系统的改进的回收。在另外的其它代表性的方面中,可以采用本文一般性地公开的系统和方法来改善半导体器件制造的处理窗口。如本文代表性公开的,用于去除含碳或含氮硅残留物的湿法清洁系统和方法可以结合半导体器件制造工艺的任何期望的间距而被使用(例如,间距为20nm、16nm、10nm、7nm、5nm、5nm以下等)。
图6A和6B代表性地示出了沿芯轴30’的侧壁形成间隔件52。在实施例中,间隔件52可以包括氧化钛。在另一实施例中,间隔件52是氮化钛。在芯轴30’形成之后,在芯轴30’和硬掩模层28上形成间隔层(未示出)。间隔层类似于先前描述的间隔层,但是替代的间隔层不需要是相同或相似的。间隔层的厚度可以被选择以确定最终形成在半导体器件层22中的特征的厚度。
在芯轴30’上形成间隔层之后,间隔层可被蚀刻以暴露芯轴30’并形成间隔件52。该间隔层可通过与先前描述的间隔层蚀刻相似的工艺来蚀刻,但是间隔层蚀刻工艺不需要相同或相似。在实施例中,间隔件52形成为具有宽度W6。在实施例中,宽度W6在期望间距的量级上,相邻间隔件52之间的宽度W7也在期望间距的量级上。在一些实施例中,宽度W5、W6和W7可以基本相等。
通过在第一组间隔件42(用于形成芯轴30’)的图案的侧壁上形成第二组间隔件52,实现四重图案化以减小间距。例如,代表性的工艺可以被改变为使用128nm间距的光刻和两个16nm厚的间隔层来实现32nm间距的互连。因此,所公开的工艺可以利用193nm浸没式光刻来实现32nm的间距,同时还具有比更新式的光刻方法(例如,极紫外(EUV)光刻等)更低的成本和更高的生产量。
图7A(其中底部层54和中间层56已经为了图示清楚而被去除)和7B图示了在间隔件52和芯轴30’上形成三层光致抗蚀剂60。三层光致抗蚀剂60可以基本上类似于三层光致抗蚀剂40,并且包括相对薄的顶部光致抗蚀剂层58、中间层56(例如BARC)和底部层54(例如硬掩模材料)。
例如,通过使用包括电磁辐射波束(例如,来自KrF准分子激光器的248nm波束或来自ArF准分子激光器的193nm波束)的浸没式光刻系统,可以图案化顶部光致抗蚀剂层58,以曝光顶部光致抗蚀剂层58的部分,并且显影曝光或未曝光部分(取决于是使用正型还是负型光致抗蚀剂)。因此,可以在顶部光致抗蚀剂层58中形成图案(例如图7A和图7B中代表性地示出的图案),包括在顶部光致抗蚀剂层58的中心部分中的开口。在实施例中,顶部光致抗蚀剂层58中的开口的侧壁可以基本上与间隔件52的侧壁52A对齐(参见例如图6A和7A)。顶部光致抗蚀剂层58的图案被用于掩盖芯轴30’的部分,使得芯轴30’的其他部分可以通过后续的蚀刻被去除。图7A和图7B中代表性地示出的图案是用于说明的目的,并且可以根据半导体器件100的特定设计形成不同的图案。
图8A和8B代表性地示出了暴露在顶部光致抗蚀剂层58的开口中的芯轴30’的部分的去除(参见例如图7A和7B)。三层光致抗蚀剂60的中间层56和底部层54由顶部光致抗蚀剂层58图案化,使得芯轴30’的上表面的部分被暴露。在代表性实施例中,利用包括O2、CO2、CxHyFz、Ar、N2、H2等、其组合或者能够在不损坏间隔件52和硬掩模层28的情况下去除芯轴30’的任何其他合适的蚀刻剂的蚀刻工艺气体,通过干法蚀刻工艺来图案化暴露的芯轴30’。
在芯轴30’的部分被去除之后,间隔件52保留在硬掩模层28的表面上。间隔件52各自具有宽度W6并且隔开宽度W5或W7。在代表性实施例中,宽度W5、W6和W7可以是基本上相等的。在代表性方面中,宽度W5、W6和W7可以全部是大约16nm,并且因此间隔件52的间距是大约32nm。
如图8A和8B中代表性地示出的,由间隔件52限定的图案包括几个光刻工艺的组合。如图1A至图2B中大体上示出的,线路图案A(LA)由使用三层光致抗蚀剂40和间隔件42的光刻步骤产生。如图3A至图4B中大体上示出的,线路图案B(LB)由使用三层光致抗蚀剂50和间隔件52的光刻步骤产生。如图7A至图8B中大体上示出的,线路图案C(LC)由使用三层光致抗蚀剂60和间隔件52的光刻步骤产生。
如图9中代表性地示出的,间隔件52可以用于图案化硬掩模层28、硬掩模层26、ARC层24和半导体器件层22。在代表性实施例中,可以利用包括O2、CO2、CxHyFz、Ar、N2、H2等或其组合的蚀刻工艺气体的干法蚀刻工艺或者能够图案化硬掩模层28的任何其他合适的蚀刻剂系统,来图案化硬掩模层28。经图案化的硬掩模层28随后可以被用作掩模来图案化硬掩模层26。在代表性实施例中,可以使用包括包含Cl2、O2、CxHyFz、N2、H2等或其组合的蚀刻剂气体的蚀刻工艺来图案化硬掩模层26。
可以使用经图案化的硬掩模层28作为掩模来图案化ARC 24。可以通过包括蚀刻剂(例如,C4F8、N2、O2、Ar等或其组合)的蚀刻工艺来图案化ARC 24。经图案化的硬掩模层28可以用于例如通过蚀刻工艺将代表性地示出的图案转移到半导体器件层22,以形成经图案化的半导体器件层22’。在实施例中,经图案化的半导体器件层22’的上面部分具有形成在其中的多个沟槽62。如图9大体上示出的,间隔件52、硬掩模层26和ARC层24随后可以被去除。多个沟槽62可以用导电材料填充以形成多个导电线(参见例如图10)。
图10代表性地示出了在经图案化的半导体器件层22’上形成导电材料66,填充多个沟槽62。导电材料66可以包括例如阻挡层、晶种层、衬垫、多个层或其组合。可以使用电化学电镀(ECP)或其它沉积方法在层/衬垫上形成填充材料,例如铜、铜合金、铝、铝合金等或其组合。如图10中大体上示出的,可以使用化学机械抛光(CMP)工艺或蚀刻工艺来从经图案化的半导体器件层22’的上表面上去除多余的导电材料66,从而在经图案化的半导体器件层22’中形成多个导电线66。导电线66可以被配置为具有与图8A和图8B中大体上示出的间隔件52基本相同的间距和间隔。
通过形成具有基本上相同宽度的两组间隔件(例如间隔件42和52),实现四重图案化以减小间距。例如,代表性的工艺可以被适当地配置为使用具有两个16nm厚度的间隔层的128nm间距光刻以实现32nm间距的互连。因此,代表性实施例可以利用193nm浸没式光刻来实现32nm的间距,同时还具有比更新式的光刻方法(例如,极紫外(EUV)光刻等)更低的成本和更高的生产量。
图11A至图15B是根据另一代表性实施例的半导体器件200的图案化中的中间阶段的截面图。除了半导体器件200包括在芯轴层30和芯轴层32之间的另一硬掩模层31之外,半导体器件200的图案化与半导体器件100的图案化类似。附加的硬掩模层31允许用于硬掩模层和芯轴层的附加材料方案。
参考图11A和11B,硬掩模层26、28和31以及芯轴层30和32被形成在ARC 24上。在代表性实施例中,硬掩模层26包括金属硬掩模层,并且硬掩模层28、30、31和32包括电介质硬掩模层。在后续的处理步骤中,使用各种光刻和蚀刻技术将图案转移到硬掩模层26上。硬掩模层26然后可以被用作用于蚀刻下面的ARC 24和半导体器件层22的图案化掩模。硬掩模层26可以包括掩模材料,例如氮化钛、氧化钛等或其组合之类。可以使用例如CVD、PVD、ALD等或其组合之类的工艺来形成硬掩模层26。在代表性实施例中,硬掩模层26可以形成为具有大约100埃到大约500埃的厚度。
硬掩模层28可以沉积在硬掩模层26上。硬掩模层28可以用作硬掩模层26的掩模图案。在后续的处理步骤中,硬掩模层28通过多个图案而被图案化,然后这些图案可以被转移到硬掩模层26。硬掩模层28可以包括掩模材料,例如TEOS、SiOxCy等或其组合之类。可以使用例如CVD、ALD等或其组合之类的工艺来形成硬掩模层28。在代表性实施例中,硬掩模层28可以形成为具有大约100埃到大约1000埃的厚度。芯轴层30形成在硬掩模层28上。芯轴层30可以是掩模材料,例如非晶硅、金属膜(例如AlOxNy等)、或其组合、或者可被图案化并且被选择性地去除的任何其它材料。可以使用例如CVD、ALD等或其组合之类的工艺来形成芯轴层30。在代表性实施例中,硬掩模层30可以形成为具有大约100埃到大约1000埃的厚度。硬掩模层31形成在芯轴层30上。硬掩模层31可以通过与前述硬掩模层28类似的工艺和材料来形成,但是硬掩模层28和31不需要相同或相似。芯轴层32形成在硬掩模层31上。芯轴层32可以通过与前述芯轴层30类似的工艺和材料来形成,但是芯轴层30和32不需要相同或相似。
图12A和图12B代表性地示出了在芯轴层32已经被图案化以在硬掩模层31的表面上形成开口和芯轴32’之后得到的结构。形成图12A和图12B的代表性地示出的结构的工艺类似于上面关于图2A和2B所描述的工艺,为了简化描述,这里不再重复。
图13A和13B代表性地示出了在芯轴32’和硬掩模层31上形成三层光致抗蚀剂50。形成图13A和13B的代表性地示出的结构的工艺类似于上面关于图3A和3B所描述的工艺,为了简化描述,这里不再重复。
图14A和14B代表性地示出了去除暴露在顶部光致抗蚀剂层48的开口中的芯轴32’(参见例如图13A和13B)。形成图14A和14B的代表性地示出的结构的工艺类似于上面关于图4A和4B所描述的工艺,为了简化描述,这里不再重复。
图15A和15B代表性地示出了利用间隔件42来图案化硬掩模层31和芯轴层30以形成芯轴30’。间隔件42被用作掩模来图案化硬掩模层31,其被用作掩模来图案化芯轴层30。在代表性实施例中,可以通过干法蚀刻工艺来图案化硬掩模层31,其中蚀刻工艺气体包括O2、CO2、CxHyFz、Ar、N2、H2等或其组合,或被适当地配置用于图案化硬掩模层31的任何其它合适的蚀刻剂系统。用于形成图15A和15B中代表性地示出的结构的其余处理步骤与上面关于图5A和5B描述的工艺类似,为了简化描述,这里不再重复。
图15A和15B中代表性地示出的半导体器件200的中间结构可以进行与上述图6A至图10中代表性地示出的处理步骤相似的进一步处理,为了简化描述,这里不再重复其细节。
在实施例中,一种系统包括:至少部分含水的系统,其被配置用于去除含碳硅残留物或含氮硅残留物中的至少一种,所述至少部分含水的系统包括:羟基封端的有机材料;第一二醇;和氟离子供体材料。羟基封端的有机材料可以包括第一二醇、第二二醇或醇中的至少一种。醇可以包括1-(2-羟乙基)-2-吡咯烷酮、2-(羟基甲氧基)乙醇、或二甘醇中的至少一种,并且第一二醇或第二二醇可以包括乙二醇、丙二醇、或二甘醇中的至少一种。该至少部分含水的系统可以包括该至少部分含水的系统的约5%重量/重量(wt/wt)至约30%(wt/wt)范围内的羟基封端的有机材料。第一二醇可以包括二甘醇。该至少部分含水的系统可以包括在该至少部分含水的系统的约2%(wt/wt)至约5%(wt/wt)的范围内的第一二醇。氟离子供体材料可以包括氟化铵或氟化氢铵中的至少一种。该至少部分含水的系统可以包括该至少部分含水的系统的约0.2%(wt/wt)至约0.5%(wt/wt)范围内的氟离子供体材料。羟基封端的有机材料可以包括1-(2-羟乙基)-2-吡咯烷酮、2-(羟基甲氧基)乙醇、或二甘醇中的至少一种。第一二醇可以包括二甘醇。氟离子供体材料可以包括氟化铵和氟化氢铵。该至少部分含水的系统可以包括:约5%(wt/wt)至约30%(wt/wt)的羟基封端的有机材料;约2%(wt/wt)至约15%(wt/wt)的第一二醇;约0.2%(wt/wt)至约0.5%(wt/wt)的氟离子供体材料;以及约54.5%(wt/wt)至约92.8%(wt/wt)的水。
在又一实施例中,一种用于半导体器件制造的系统包括:至少部分含水的系统,其被配置用于去除含碳硅残留物或含氮硅残留物中的至少一种,至少部分含水的系统包括:羟基封端的有机材料;和氟离子供体材料。至少部分含水的系统还可以包括二醇或醇。
醇可以包括1-(2-羟乙基)-2-吡咯烷酮,并且二醇可以包括乙二醇、丙二醇或二甘醇中的至少一种。至少部分含水的系统可以包括至少部分含水的系统的约5%(wt/wt)至约30%(wt/wt)范围内的羟基封端的有机材料。
二醇可以包含二甘醇。至少部分含水的系统也可以包括至少部分含水的系统的约2%(wt/wt)至约5%(wt/wt)范围内的二醇。
氟离子供体材料可以包含氟化铵或氟化氢铵中的至少一种。至少部分含水的系统可以包括在所述至少部分含水的系统的约0.2%(wt/wt)至约0.5%(wt/wt)范围内的氟离子供体材料。
羟基封端的有机材料可以包括1-(2-羟乙基)-2-吡咯烷酮;二醇可以包括二甘醇;并且氟离子供体材料可以包括氟化铵和氟化氢铵。至少部分含水的系统可以包括:约5%(wt/wt)至约30%(wt/wt)的羟基封端的有机材料;约2%(wt/wt)至约15%(wt/wt)的二醇;约0.2%(wt/wt)至约0.5%(wt/wt)的氟离子供体材料;和约54.5%(wt/wt)至约92.8%(wt/wt)的水。
在如图16中代表性地示出的另一实施例中,方法1600包括以下步骤:可选的预处理1610;蚀刻1620半导体器件层,其中所述蚀刻产生含碳硅残留物或含氮硅残留物中的至少一种;在蚀刻1620半导体器件层之后,清洁1630半导体器件层以至少部分地利用清洁系统去除含碳硅残留物或含氮硅残留物中的至少一种,所述清洁系统包括:水、羟基封端的有机材料、第一二醇和氟离子供体材料;以及可选的后处理1640(例如,间隔件限定,然后使用稀释HF对所限定的间隔件结构进行湿法清洁)。可以用包括CO2、CxHyFz或N2中的至少一种的等离子体来进行蚀刻。羟基封端的有机材料可以包括1-(2-羟乙基)-2-吡咯烷酮、2-(羟基甲氧基)乙醇或二甘醇。第一二醇可以包括二甘醇。第一二醇可以包含羟基封端的有机材料。氟离子供体材料可以包括氟化铵和氟化氢铵。清洁系统可以包括:约5%重量/重量(wt/wt)至约30%(wt/wt)的羟基封端的有机材料(例如1-(2-羟乙基)-2-吡咯烷酮);约2%(wt/wt)至约15%(wt/wt)的第一二醇(例如二甘醇);约0.2%(wt/wt)至约0.5%(wt/wt)的氟离子供体材料;以及约54.5%(wt/wt)至约92.8%(wt/wt)的水。清洁可以包括从含硅结构中至少部分去除含碳硅残留物或含氮硅残留物中的至少一种。相对于形成含硅结构的材料,清洁可以是选择性用于至少部分去除含碳硅残留物或含氮硅残留物中的至少一种。相对于非晶硅或氧化硅中的至少一种,清洁可以是选择性用于至少部分去除含碳硅残留物或含氮硅残留物中的至少一种。
在又一实施例中,一种用于半导体器件制造的方法包括:蚀刻半导体器件层,其中蚀刻产生含碳硅残留物或含氮硅残留物中的至少一种;并且在蚀刻半导体器件层之后,利用清洁系统清洁半导体器件层以至少部分去除含碳硅残留物或含氮硅残留物中的至少一种,清洁系统可以包括:水;羟基封端的有机材料;二醇;和氟离子供体材料。蚀刻可以利用包括CO2、CxHyFz或N2中的至少一种的等离子体来实现。羟基封端的有机材料可以包括1-(2-羟乙基)-2-吡咯烷酮,二醇可以包括二甘醇。氟离子供体材料可以包括氟化铵和氟化氢铵。
清洁系统可以包括以下物质:约5%(wt/wt)至约30%(wt/wt)的1-(2-羟乙基)-2-吡咯烷酮;约2%(wt/wt)至约15%(wt/wt)的二甘醇;约0.2%(wt/wt)至约0.5%(wt/wt)的所述氟离子供体材料;和约54.5%(wt/wt)至约92.8%(wt/wt)的水。
清洁可以包括从含硅结构中至少部分去除含碳硅残留物或含氮硅残留物中的至少一种。清洁可以选择性地用于相对于形成含硅结构的材料、至少部分去除含碳硅残留物或含氮硅残留物中的至少一种。清洁还可以选择性地用于相对于非晶硅或氧化硅中的至少一种、至少部分去除含碳硅残留物或含氮硅残留物中的至少一种。
在又一实施例中,一种方法包括以下步骤:用等离子体干法蚀刻半导体结构,该半导体结构包括非晶硅或氧化硅中的至少一种,所述等离子体包括CO2、CxHyFz或N2中的至少一种,其中该干法蚀刻产生含碳硅材料或含氮硅材料中的至少一种;并且在干法蚀刻半导体结构之后,用至少部分含水的系统降低含碳硅材料或含氮硅材料中的至少一种的浓度,该至少部分含水的系统包括:羟基封端的有机材料、第一二醇、和氟离子供体材料。该至少部分含水的系统可由以下物质组成:约5%重量/重量(wt/wt)至约30%(wt/wt)的羟基封端的有机材料(例如,1-(2-羟乙基)-2-吡咯烷酮或2-(羟基甲氧基)乙醇);约2%(wt/wt)至约15%(wt/wt)的第一二醇(例如,1-(2-羟乙基)-2-吡咯烷酮、2-(羟基甲氧基)乙醇或二甘醇);约0.2%(wt/wt)至约92.8%(wt/wt)的氟化铵和氟化氢铵的组合;以及约54.5%(wt/wt)至约92.8%(wt/wt)的水。
在又一实施例中,一种用于半导体器件制造的方法包括:用等离子体干法蚀刻半导体结构,半导体结构包括非晶硅或氧化硅中的至少一种,等离子体包括CO2、CxHyFz或N2中的至少一种,其中干法蚀刻产生含碳硅材料或含氮硅材料中的至少一种;并且在干法蚀刻所述半导体结构之后,用至少部分含水的系统减少含碳硅材料或含氮硅材料中的至少一种的浓度,至少部分含水的系统可以包括:羟基封端的有机材料;二醇;和氟离子供体材料。
至少部分含水的系统可以包括以下物质:约5%(wt/wt)至约30%(wt/wt)的1-(2-羟乙基)-2-吡咯烷酮;约2%(wt/wt)至约15%(wt/wt)的二甘醇;约0.2%(wt/wt)至约0.5%(wt/wt)的氟化铵和氟化氢铵的组合;和约54.5%(wt/wt)至约92.8%(wt/wt)的水。
在又另一实施例中,在芯轴蚀刻之后的第一次湿法清洁之后,可以在间隔件蚀刻(例如,被包括在可选的后处理1640中)之后使用稀释的HF溶液(例如用去离子水进行约1:2000的稀释)在约50℃的温度下持续约120秒的时间来进行第二次湿法清洁(例如,作为可选的后处理1640)。此外,代表性实施例在间隔件蚀刻和利用稀释的HF的第二次湿法清洁之后提供小于约3nm的正TEOS凹陷/损失。
在又一个实施例中,用于半导体器件制造的系统包括被配置用于去除含碳硅残留物或含氮硅残留物中的至少一种的至少部分含水的系统。该至少部分含水的系统包括羟基封端的有机材料和氟离子供体材料。至少部分含水的系统还包含二醇或醇。
为了便于描述,可以在本文中使用空间相对术语,例如“在...之下”、“在...下面”、“下”、“在...上面”、“上”等等来描述元件或特征与另一元件或特征的关系,如图中代表性地示出的。除了图中所示的取向之外,空间相对术语旨在包含使用或操作中的器件的不同取向。设备可以以其他方式取向(例如,旋转90度、或者采用其它取向),并且这里使用的空间相对描述符可以被同样地进行相应的解释。
如本文所使用的,术语“包括”、“包含”、“具有”或其任何根据语境的变体旨在表示非排他性的包含。例如,包括元件列表的工艺、产品、物品或设备不一定仅限于那些元件,而是可以包括没有明确列出的或者这样的工艺、产品、物品或设备固有的其它元件。此外,除非有相反的明确说明,否则“或”是指包含性的而不是排他性的。也就是说,除非另外指出,否则如本文所使用的术语“或”通常旨在表示“和/或”。例如,以下任何一个条件都满足条件“A或B”:A为真(或存在)且B为假(或不存在),A为假(或不存在)且B为真(或存在),以及A和B都为真(或存在)。如本文所使用的,除非上下文另有明确指示,否则前面有“一”或“一个”(以及先前基础是“一”或“一个”时的“该”)的术语包括对于该术语的单数和复数含义。
还将意识到的是,在附图中示出的一个或多个元件也可以以更分离或更集成的方式实现,或者甚至在某些情况下被移除或变得不可操作,这可根据特定应用和实施例而应用。此外,附图中的任何箭头应被认为仅仅是代表性的,因而不是限制性的,除非另有特别说明。
本文提供的示例或说明不被视为以任何方式约束、限制或表达任何术语的与之相关联的定义。相反,这些示例或说明将被认为是针对特定实施例而描述的,并且仅仅是说明性的。本领域的技术人员将会理解,与这些示例或说明相关联的任何术语将涵盖可以与之一起或者可以不与之一起或在说明书的其它地方给出的其他实施例,并且所有这样的实施例旨在被包括该术语的范围内。指定这样的非限制性示例和说明的语言包括但不限于:“例如”、“诸如”、“示例”、“等”、“等等”、“在代表性实施例中”、“在一个实施例中”、“在另一实施例中”或“在一些实施例中”。在整个说明书中对“一个实施例”、“实施例”、“代表性实施例”、“特定实施例”或“具体实施例”、或者语境上相似的术语,意味着结合所描述的实施例描述的特定特征、结构、属性或者特性被包括在至少一个实施例中,但是可能不一定存在于所有实施例中。因此,贯穿说明书各处出现的短语“在一个实施例中”、“在实施例中”或“在具体实施例中”或类似术语不一定指相同的实施例。此外,任何具体实施例的特定特征、结构、属性或特性可以以任何合适的方式与一个或多个其他实施例组合。
本文中已经针对代表性实施例描述了益处、其它优点和问题的解决方案。然而,任何益处、优点、问题的解决方案、或者可能导致任何益处、优点或解决方案发生或变得更明显的任何组件不应被理解为是关键的、必需的或实质的特征或组件。
尽管这里已经描述了代表性实施例和优点,但是应当理解,可以在不脱离由所附权利要求限定的本公开的精神和范围的情况下进行各种改变、替换或者变更。此外,本说明书的范围并非旨在被局限于说明书中描述的任何特定工艺、机器、制品、物质组成、手段、方法或步骤的特定实施例。如本领域普通技术人员根据本公开将理解的,目前存在或以后开发的与这里所描述的相应实施例执行基本相同的功能或实现基本类似的结果的各种工艺、机器、制品、物质组成、手段、方法或步骤都可以根据本公开而被利用。因此,所附权利要求旨在将这样的工艺、机器、制品、物质组成、手段、方法或步骤包括在其范围内。

Claims (1)

1.一种用于半导体器件制造的系统,该系统包括:
至少部分含水的系统,其被配置用于去除含碳硅残留物或含氮硅残留物中的至少一种,所述至少部分含水的系统包括:
羟基封端的有机材料;和
氟离子供体材料。
CN201810161978.4A 2017-04-28 2018-02-27 用于半导体器件制造的系统和方法 Pending CN108807219A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762491976P 2017-04-28 2017-04-28
US62/491,976 2017-04-28
US15/870,603 2018-01-12
US15/870,603 US10312073B2 (en) 2017-04-28 2018-01-12 Selective removal of carbon-containing and nitrogen-containing silicon residues

Publications (1)

Publication Number Publication Date
CN108807219A true CN108807219A (zh) 2018-11-13

Family

ID=63916177

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810161978.4A Pending CN108807219A (zh) 2017-04-28 2018-02-27 用于半导体器件制造的系统和方法

Country Status (3)

Country Link
US (1) US10312073B2 (zh)
CN (1) CN108807219A (zh)
TW (1) TW201839525A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI736820B (zh) * 2018-12-27 2021-08-21 力晶積成電子製造股份有限公司 半導體元件的製造方法
CN114496737A (zh) * 2020-11-12 2022-05-13 长鑫存储技术有限公司 半导体器件及其制造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3403187B2 (ja) * 2001-08-03 2003-05-06 東京応化工業株式会社 ホトレジスト用剥離液
JP3820545B2 (ja) * 2001-12-04 2006-09-13 ソニー株式会社 レジスト剥離用組成物及びそれを用いた半導体装置の製造方法
US7825079B2 (en) * 2008-05-12 2010-11-02 Ekc Technology, Inc. Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11127587B2 (en) * 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
JP6000420B1 (ja) * 2015-08-31 2016-09-28 メック株式会社 エッチング液、補給液及び銅配線の形成方法

Also Published As

Publication number Publication date
US20180315593A1 (en) 2018-11-01
US10312073B2 (en) 2019-06-04
TW201839525A (zh) 2018-11-01

Similar Documents

Publication Publication Date Title
US9911646B2 (en) Self-aligned double spacer patterning process
US9831117B2 (en) Self-aligned double spacer patterning process
US9472414B2 (en) Self-aligned multiple spacer patterning process
US7354847B2 (en) Method of trimming technology
CN110660652B (zh) 半导体装置的图案化方法
CN110875176B (zh) 半导体装置的形成方法
TWI821329B (zh) 改善euv阻劑及硬遮罩選擇性的圖案化方案
JP6133585B2 (ja) Euvフォトレジスト封入
US20180138078A1 (en) Method for Regulating Hardmask Over-Etch for Multi-Patterning Processes
KR100876892B1 (ko) 반도체 소자의 제조방법
US11605539B2 (en) Defect correction on metal resists
KR102405203B1 (ko) 금속 산화물의 스핀온 퇴적 방법
CN115088057A (zh) 具有选择性芯轴形成的多重图案化
CN108807219A (zh) 用于半导体器件制造的系统和方法
US20180323072A1 (en) Method For Increasing Trench CD in EUV Patterning Without Increasing Single Line Opens or Roughness
KR20190013414A (ko) 반도체 디바이스 및 방법
CN112670168B (zh) 半导体结构的形成方法、晶体管
KR101175267B1 (ko) 반도체소자의 메탈라인 패터닝 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20181113

WD01 Invention patent application deemed withdrawn after publication