CN108735658A - 半导体装置结构的形成方法 - Google Patents

半导体装置结构的形成方法 Download PDF

Info

Publication number
CN108735658A
CN108735658A CN201710891160.3A CN201710891160A CN108735658A CN 108735658 A CN108735658 A CN 108735658A CN 201710891160 A CN201710891160 A CN 201710891160A CN 108735658 A CN108735658 A CN 108735658A
Authority
CN
China
Prior art keywords
layer
atom
etching stopping
conductive component
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710891160.3A
Other languages
English (en)
Inventor
林志男
李宗达
陈莉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108735658A publication Critical patent/CN108735658A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Geometry (AREA)

Abstract

根据一些实施例,提供半导体装置结构及其形成方法。上述方法包含沉积含有Al原子的第一层,以覆盖第一介电层及第一导电部件。此外,上述方法包含沉积含有N原子的第二层于第一层上,使得第一层和第二层形成了包含氮化铝的蚀刻停止层。蚀刻停止层包含空位,且具有铝相对于铝及氮(Al/Al+N)的原子百分比。上述方法也包含用额外的N原子填入蚀刻停止层内的空位,以减少铝相对于铝及氮的原子百分比。此外,上述方法包含形成第二介电层于蚀刻停止层上。上述方法也包含形成第二导电部件于第二介电层和蚀刻停止层内,使第二导电部件连接至第一导电部件。

Description

半导体装置结构的形成方法
技术领域
本发明一些实施例涉及半导体装置结构及其形成方法,特别涉及半导体装置的内连线结构及其形成方法。
背景技术
半导体集成电路(integrated circuit,IC)产业已历经了快速的成长。集成电路材料及设计的技术的进步造成集成电路世代的产生,每一世代的电路比前一世代更小且更复杂。
在集成电路的发展过程中,通常增加了功能密度(即,每单位晶片面积所内连接的装置的数量),却降低了几何尺寸(即,工艺中所能制造出的最小元件)。尺寸缩小所带来的好处通常包括提高生产效率及降低相关成本。
然而,上述发展增加了加工及制造集成电路的复杂性。由于结构尺寸持续缩小,工艺难度也随的提高。在半导体装置越来越小的情况下维持半导体装置的可靠度是现有工艺的挑战。
发明内容
根据一些实施例,提供半导体装置结构的形成方法。上述方法包含形成第一介电层于半导体基底上。上述方法也包含形成第一导电部件于第一介电层内。上述方法还包含沉积包含Al原子的第一层,以覆盖第一介电层及第一导电部件。此外,上述方法包含沉积包含N原子的第二层于第一层上,使得第一层和第二层形成包含氮化铝的蚀刻停止层。蚀刻停止层包含空位且具有铝相对于铝及氮(Al/Al+N)的原子百分比。上述方法也包含用额外的N原子填入蚀刻停止层内的空位,以减少铝相对于铝及氮的原子百分比。上述方法还包含形成第二介电层于蚀刻停止层上。此外,上述方法包含形成第二导电部件于第二介电层和蚀刻停止层内,且第二导电部件连接至第一导电部件。
附图说明
本公开的各种样态最好的理解方式为阅读以下说明书的详说明并配合所附图式。应该注意的是,本公开的各种不同特征部件并未依据工业标准作业的尺寸而绘制。事实上,为使说明书能清楚叙述,各种不同特征部件的尺寸可以任意放大或缩小。
第1A至1E图是根据一些实施例,形成半导体装置结构的工艺在不同阶段下的剖面图;
第2A至2E图是根据一些实施例,形成半导体装置结构的工艺在不同阶段下的剖面图。
其中,附图标记说明如下:
100~半导体基底
110~介电层
110S~表面
120~导电部件
130~蚀刻停止层
130A~部份
130S~表面
131~原子
132~原子
133~原子
140~保护层
150~介电层
160~开口
170~导电部件
180~内连线结构
200~前驱物
210~前驱物
230~反应物
240~空位
具体实施方式
要了解的是本说明书以下的公开内容提供许多不同的实施例或范例,以实施本发明的不同特征部件。而本说明书以下的公开内容是叙述各个构件及其排列方式的特定范例,以求简化发明的说明。当然,这些特定的范例并非用以限定本发明。例如,若是本说明书以下的公开内容叙述了将一第一特征部件形成于一第二特征部件之上或上方,即表示其包含了所形成的上述第一特征部件与上述第二特征部件是直接接触的实施例,还包含了将附加的特征部件形成于上述第一特征部件与上述第二特征部件之间,而使上述第一特征部件与上述第二特征部件可能未直接接触的实施例。另外,本发明的说明中不同范例可能使用重复的参考符号及/或用字。这些重复符号或用字为了简化与清晰的目的,并非用以限定各个实施例及/或所述外观结构之间的关系。
再者,为了方便描述图式中一元件或特征部件与另一(多个)元件或(多个)特征部件的关系,可使用空间相关用语,例如”在…之下”、”下方”、”下部”、”上方”、”上部”及类似的用语。除了图式所显示的方位之外,空间相关用语涵盖使用或操作中的装置的不同方位。例如,若翻转图式中的装置,描述为位于其他元件或特征部件”下方”或”在…之下”的元件,将定位为位于其他元件或特征部件”上方”。因此,范例的用语”下方”可涵盖上方及下方的方位。所述装置也可被另外定位(例如,旋转90度或者位于其他方位),并对应地解读所使用的空间相关用语的描述。
本发明提供许多实施例。可提供额外的操作在这些实施例所述的阶段之前、之中及/或之后。在不同的实施例,这些阶段可被省略或取代。可在半导体装置结构内增加额外的部件(feature)。在不同的实施例,下述的部件可被省略或取代。在一些实施例所述的操作是以特定的顺序执行,然而这些操作可以其他合理的顺序执行。
第1A至1E图是根据一些实施例,形成半导体装置结构的工艺在不同阶段下的剖面图。如第1A图所示,提供半导体基底100。在一些实施例,半导体基底100为块材半导体基底,例如半导体晶片。例如,半导体基底100为硅晶片。半导体基底100可包含硅或其他元素半导体材料,例如锗。在其他实施例,半导体基底100包含化合物半导体。化合物半导体可包含硅锗、砷化镓、碳化硅、砷化铟、磷化铟、其他适合的化合物半导体,或上述组合。
在一些实施例,半导体基底100包含绝缘上覆半导体(semiconductor-on-insulator,SOI)基底。SOI基底可用晶片接合工艺、硅膜转移工艺、植氧分离(separationby implantation of oxygen,SIMOX)工艺、其他适合的方法,或上述组合制造。
在一些实施例,在半导体基底100内及/或上方,形成了各种不同的装置元件。为了简洁,图式中并未显示上述装置元件。上述各种不同的装置元件包含晶体管、二极体、其他适合的元件或上述组合。例如,晶体管可为金属氧化物半导体场效晶体管(metal oxidesemiconductor field effect transistor,MOSFET)、互补式金属氧化物半导体晶体管(complementary metal oxide semiconductor,CMOS)晶体管、双极性接面型晶体管(bipolar junction transistor,BJT)、高压晶体管、高频晶体管、p型通道及/或n型通道场效晶体管(PFETs/NFETs)等。使用各种不同的工艺以形成各种不同的装置元件,例如沉积、蚀刻、注入、光刻、退火、平坦化、其他适合的工艺或上述组合。
在一些实施例,隔离部件(未显示)形成于半导体基底100内。隔离部件用来定义主动区及电性绝缘形成在主动区内,且位于半导体基底100内及/或上方各种不同的装置元件。在一些实施例,隔离部件包含浅沟槽隔离(shallow trench isolation,STI)部件、局部氧化硅(local oxidation of silicon,LOCOS)部件、其他适合的隔离部件或上述组合。
在一些实施例,内连线结构(例如第1E图所示的内连线结构180)形成于半导体基底100上。内连线结构包含多层介电层,其含有层间介电(interlayer dielectric,ILD)层及一或多层的层间金属介电(inter-metal dielectric,IMD)层。内连线结构还包含多个形成于ILD及IMD层内的导电部件。导电部件可包含导线、导孔及/或导电接触窗。
各种不同的装置元件经由位于半导体基底100上的内连线结构而互相连接,以形成集成电路装置。集成电路装置包含逻辑装置、储存装置(例如静态随机存取储存器(static random access memory,SRAM))、射频(radio frequency,RF)装置、输入/输出(input/output,I/O)装置、系统单芯片(system-on-chip,SoC)装置、影像感测器装置、其他适合的装置类型或上述组合。
如第1A图所示,介电层110形成于半导体基底100上。介电层110可作为ILD及IMD层。介电层110覆盖形成于半导体基底100内及/或上方的装置元件。虽然第1A图显示介电层110为单一层,但本发明实施例并不限定于此。在其他实施例,介电层110为多层结构,其包含次介电层(未显示)。
在一些实施例,介电层110包含或由氧化硅、氮氧化硅、硼硅酸盐玻璃(borosilicate glass,BSG)、磷酸盐玻璃(phosphoric silicate glass,PSG)、硼磷硅酸盐玻璃(borophosphosilicate glass,BPSG)、氟化硅酸盐玻璃(fluorinated silicateglass,FSG)、低介电常数(low-k)介电材料、多孔介电材料、其他适合的材料或上述组合形成。low-k介电材料具有小于二氧化硅的介电常数。例如,low-k介电材料可具有介于约1.2至约3.5的范围间的介电常数。在一些实施例,介电层110借由使用化学气相沉积(chemicalvapor deposition,CVD)工艺、原子层沉积(atomic layer deposition,ALD)工艺、旋转涂布工艺、喷涂工艺、其他适合的工艺或上述组合形成。
多个导电部件形成于介电层110内。如第1A图所示,此为导电部件120形成于介电层110内的其中一个例子。导电部件120经由其他导电部件(未显示)而电性连接至其中一个装置元件。例如,导电部件120可经由适合的导电部件而电性连接至形成于半导体基底100上方的栅极结构,或连接至形成于半导体基底100内的掺杂区。
导电部件120可包含导线、导孔、及/或导电接触窗。在一些实施例,导电部件120由铜、铝、金、钛、钴、钨、其他适合的导电材料或上述组合形成。可用各种不同的工艺,包含沉积、蚀刻、平坦化或类似的工艺来形成导电部件120于介电层110内。
根据一些实施例,如第1A图所示,蚀刻停止层130沉积于介电层110上方。导电部件120被蚀刻停止层130覆盖。导电部件120可直接接触蚀刻停止层130。蚀刻停止层130用来保护导电部件120,使其免于在后续的工艺受到损害,后续会对此做更详细的描述。
蚀刻停止层130具有厚度T。在一些实施例,厚度T介于约至约的范围间。厚度T可等于或大于约且厚度T可等于或小于约例如,厚度T可介于约至约的范围间。然而,本发明实施例并不限定于此。
在一些实施例,蚀刻停止层130具有结晶结构。例如,蚀刻停止层130可具有如纤维锌矿(wurtzite)的结构。在一些实施例,蚀刻停止层130包含或由氮化铝(AlN)、其他适合的材料或上述组合形成。在一些实施例,蚀刻停止层130借由使用ALD工艺、等离子体提升原子层沉积(plasma-enhanced atomic layer deposition,PEALD)工艺、其他适合的工艺或上述组合沉积。蚀刻停止层130的组成及形成将在之后根据第2A-2E图所示的一些实施例做更详细叙述。
根据一些实施例,如第1B图所示,保护层140沉积于蚀刻停止层130上方。保护层140用来防止蚀刻停止层130在后续的工艺被氧化。据此,可减少或消除漏电。
在一些实施例,保护层140具有介于约至约的范围间的厚度。在一些实施例,保护层140包含或由氧化铝(aluminum oxide,Al2O3)、氮掺杂碳化硅(nitrogen-dopedsilicon carbide,SiC:N)、氧掺杂碳化硅(oxygen-doped silicon carbide,SiC:O)、其他适合的介电材料或上述组合形成。在一些实施例,保护层140由氧化铝层形成,其具有铝相对于铝及氧(Al/Al+O)的原子百分比,其约等于40%。在一些实施例,保护层140借由使用ALD工艺、CVD工艺、旋转涂布工艺、喷涂工艺、其他适合的工艺或上述组合形成。
根据一些实施例,如第1B图所示,介电层150沉积于保护层140上方。介电层150可作为IMD层。在一些实施例,介电层150由low-k介电材料形成。随半导体装置密度的增加和电路元件的尺寸缩小,阻容延迟(resistance capacitance delay,RC delay)的时间逐渐主导电路的效能。使用low-k介电材料作为介电层150有助于减少阻容延迟。
在一些实施例,介电层150包含含碳材料。例如,介电层150包含SiOC、SiCOH、SiOCN或上述组合。在一些实施例,介电层150由碳掺杂氧化硅制成。碳掺杂氧化硅可提到例如有机硅玻璃(organosilicate glass,OSG)或掺杂碳的氧化物(C-oxide)。在一些实施例,碳掺杂氧化硅包含甲基倍半硅氧烷(methyl silsesquioxane,MSQ)、氢倍半硅氧烷(hydrogensilsesquioxane,HSQ)、倍半硅氧烷(polysilsesquioxane)、其他适合的材料或上述组合。在一些实施例,介电层150借由CVD工艺、旋转涂布工艺、喷涂工艺、其他适合的工艺或上述组合沉积。
在一些实施例,保护层140位于介电层150及蚀刻停止层130之间。可在本发明的实施例做各种变化及变形。在其他实施例,保护层140并未形成。在这些实施例,介电层150覆盖且邻近于蚀刻停止层130。
然后,开口形成于介电层150内。在一些实施例,开口包含沟槽、孔洞或上述组合。如第1C图所示的一个示例,开口160形成于介电层150内。开口160穿透介电层150。在一些实施例,开口160穿透介电层150及保护层140。结果,开口160的底部与蚀刻停止层130的一部份130A并列。
在一些实施例,开口160借由光刻及蚀刻工艺形成。蚀刻工艺可为干蚀刻工艺、其他适合的工艺或上述组合。在一些实施例,图案化(未显示)形成于介电层150上的掩模层,以定义出欲形成开口160处。在蚀刻工艺,使用对介电层150相较于蚀刻停止层130具有高蚀刻选择比的蚀刻剂来形成开口160。换句换说,介电层150被蚀刻的速度比蚀刻停止层130快。因此,如上所述,导电部件120被蚀刻停止层130覆盖及保护,而免于在形成开口160的过程中受到损害。在蚀刻工艺用来形成开口160所使用的蚀刻剂可对介电层150相较于保护层140具有较低的蚀刻选择比。
接下来,如第1D图所示,与开口160的底部并列的蚀刻停止层130的部份130A被移除。结果,开口160延伸至蚀刻停止层130,以露出部份的导电部件120。在一些实施例,使用蚀刻工艺部份移除蚀刻停止层130。蚀刻工艺可为湿蚀刻工艺、其他适合的工艺或上述组合。在蚀刻工艺中,使用的蚀刻剂对蚀刻停止层130相较于介电层150具有较高的蚀刻选择比,以露出导电部件120。换句换说,蚀刻停止层130被蚀刻的速度快于介电层150。在蚀刻工艺用来露出导电部件120所使用的蚀刻剂可对蚀刻停止层130相较于保护层140具有较低的蚀刻选择比。
然后,导电部件形成于介电层150的开口内,以形成一部分的内连线结构180。介电层150内的导电部件电性连接至介电层110内的导电部件。介电层150内的导电部件可为单镶嵌结构、双镶嵌结构或上述组合。
如第1E图所示的一个示例,导电部件170形成于开口160内。在一些实施例,导电部件170为导线、导孔或导电接触窗。导电部件170物理接触且电性连接至导电部件120。在一些实施例,如第1E图所示,导电部件170沿着由介电层150朝向蚀刻停止层130的方向逐渐变窄。然而,本发明实施例并不限定于此。导电部件170可具有其他剖面轮廓。例如,导电部件170可具有大体上为垂直的侧壁。导电部件170可为单镶嵌结构、双镶嵌结构。
在一些实施例,导电部件170包含或由铜、铝、钨、钛、镍、金、铂、银、其他适合的材料或上述组合形成。导电部件170可为单层或具有多重堆迭层。
在一些实施例,一或多层导电材料层沉积在介电层150上方,以填入开口160内。在一些实施例,一或多层导电材料层借由电镀工艺、PVD工艺、CVD工艺、无电镀工艺、其他适合的工艺或上述组合沉积。
然后,使用平坦化工艺来移除位于开口160外侧的导电材料层。平坦化工艺可包含化学机械研磨(chemical mechanical polishing,CMP)工艺、干研磨工艺、研磨工艺、蚀刻工艺、其他适合的工艺或上述组合。结果,开口160内导电材料层剩下的部份形成导电部件170。
在其他实施例,在沉积导电材料层前,阻障层(未显示)形成于介电层150上方,且形成在开口160的侧壁上及底部上。阻障层能保护介电层150免于在后续的热工艺或循环中,受到来自金属材料的扩散。
例如,沉积导电部件170前,阻障层共形(conformally)沉积于介电层150上及开口160内。在平坦化工艺后,形成了导电部件170,且位于介电层150的上表面上方的阻障层也被移除。结果,导电部件170借由阻障层而与介电层150隔开。
在一些实施例,阻障层由氮化钽、氮化钛、氮化钨、其他适合的材料或上述组合形成。在一些实施例,阻障层包含多个次层,其包含粘着层(未显示)。粘着层可增进阻障层与后续形成的层之间的附着力。粘着层可由钽、钛、其他适合的材料或上述组合形成。在一些实施例,阻障层借由使用PVD工艺、CVD工艺、其他适合的工艺或上述组合沉积。可在本发明的实施例做各种变化及变形。在其他实施例,阻障层并未形成。
然后,一或多层介电层和一或多层导电部件形成于介电层150及导电部件170上,以继续形成半导体装置结构的内连线结构180。在一些实施例,重复一或多次如第1A-1E图所示的操作,以继续形成内连线结构180。例如,可沉积其他蚀刻停止层(可与蚀刻停止层130相同或相似),以有助于形成导电部件于介电层150上。
随科技持续的进步,电容对于半导体装置的电路效能变得更为重要。为减少半导体装置结构的电容,蚀刻停止层130持续变薄。如上所述,在一些实施例,蚀刻停止层130的厚度T介于约至约的范围间。在某些情况下,蚀刻停止层130的厚度T应大体上等于或小于约据此,可减少RC延迟,使得功率的消耗减少而使得半导体装置结构操作更快。然而,本发明实施例并不限定于此。在其他情况,蚀刻停止层130的厚度T可大于约
在某些情况下,蚀刻停止层130的厚度T应大体上等于或大于约若蚀刻停止层130的厚度T小于约蚀刻停止层130无法提供给导电部件120足够的保护,使其在蚀刻工艺或其他工艺的过程中受到损害。或者,薄的蚀刻停止层130无法避免来自导电部件120的金属扩散。然而,本发明实施例并不限定于此。在其他情况,蚀刻停止层130的厚度T可小于约
在其他情况,当蚀刻停止层变薄后,在热工艺或循换的过程中,减少来自下方的导电部件经由蚀刻停止层而金属扩散。例如,若蚀刻停止层的厚度等于或小于约则金属扩散可能发生。然而,若蚀刻停止层的厚度大于约可减少金属扩散。
本发明的一些实施例为形成包含薄的蚀刻停止层的半导体装置结构的方法,上述实施例不会有经由此薄的蚀刻停止层发生金属扩散的情况。第2A至2E图是根据一些实施例,形成半导体装置结构的工艺在不同阶段下的剖面图。在一些实施例,第2A-2E图是在形成如第1A图所示的结构的工艺中,各种不同阶段的放大剖面图。例如,第2A-2E图显示形成蚀刻停止层130。为了简洁,在第2A-2E图中,并未显示如第1A图的半导体基底100。第2A-2E图所示的结构的材料及/或形成方法与结构如第1A图所示的结构的材料及/或形成方法相同或相似,如同先前的实施例所述,在此不再重述。
在一些实施例,蚀刻停止层130由ALD工艺形成,其包含多道沉积循环。一般而言,沉积循环指的是执行一次表面沉积反应所需要的最小操作组。一道沉积循环将制造至少一部份的层。例如,每一沉积循环包含如第2A-2B图所示的操作。如第2C图所示,沉积循环可重复一次或多次,以生成所需厚度的蚀刻停止层130。
更具体而言,如第2A图所示,在反应腔室(未显示)内导入或提供前驱物200于介电层110及导电部件120上而执行沉积工艺。介电层110及导电部件120曝露在前驱物200之下,且与前驱物200反应。前驱物200的一些分子可形成凝结相于介电层110的表面110S上和导电部件120的表面120S上。凝结相包含前驱物200的化学吸附的原子及/或物理吸附的分子。结果,根据一些实施例,如第2A图所示,原子131的层(或单层)沉积,并且结合至介电层110的表面110S上和导电部件120的表面120S上。
可以理解的是,原子131的层可完全覆盖介电层110及导电部件120,或可局部不连续地位于介电层110及导电部件120上。或者,例如,取决于前驱物200对于介电层110及导电部件120不同区域的活性,原子131的层可具有不均匀的厚度。
前驱物200可被称为反应物源或反应物前驱物。前驱物200可为气态前驱物。在一些实施例,前驱物200为含铝的前驱物、含铝且含碳(C)的前驱物或其他适合的前驱物。因此,原子131包含铝(Al)原子或由铝原子组成。前驱物200的例子可包含三甲基铝(trimethyl aluminum,TMA)、三异丁基铝(tri-isobutyl aluminum,TIBA)、二甲基氢化铝(dimethyl aluminum hydride,DMAH)、三乙基铝(triethyl aluminum,TEA)、其他适合的含铝材料及上述组合。在一些实施例,前驱物200借由承载气体而输入或流入反应腔室。承载气体可为惰性气体,例如氮(N2)、氩(Ar)或氦(He)或其他适合的气体。
在一些实施例,如第2A图所示,额外的原子132被化学吸附或与原子131的层结合。原子132可以或没有形成沉积于介电层110及导电部件120上方的额外的层。原子132可为C原子或其他原子(例如氧原子)。原子132可包含C原子及/或其他原子。
例如,当前驱物200包含TMA,TMA分子与介电层110及导电部件120反应。TMA的一些部份被化学吸附至介电层110的表面110S和导电部件120的表面120S,例如,铝与一或多个甲基结合(例如Al-(CH3)*),然后,Al-(CH3)*的Al及C原子个别形成原子131的层及原子132的层。换句换说,原子132可为甲基基团的C原子。
在一些实施例,如第2A图所示的沉积工艺,操作温度介于约300℃至约400℃的范围间。在一些实施例,如第2A图所示的沉积工艺,操作压力介于约2Torr至约6Torr的范围间。在一些实施例,如第2A图所示的沉积工艺,操作时间介于约2秒至约6秒的范围间。然而,本发明实施例并非限定于此范围。
可在本发明的实施例做各种变化及变形。在一些实施例,在如第2A图所示的沉积工艺之前,对介电层110及导电部件120执行一道或多道的额外的处理。例如,可执行表面处理来清洁及移除导电部件120的表面120S的氧化物(例如氧化铜)。因此,前驱物200会较容易地与导电部件120反应,还可减少或消除漏电。表面处理所使用的反应物可具有等离子体及/或可包含氢。例如,表面处理所使用的反应物可为氢等离子体、氨(NH3)等离子体、其他适合的反应物或上述组合。
在前驱物200停止流动后,清洗反应腔室。清洗气体流入反应腔室,以移除多余且未反应的前驱物200及副产物。清洗气体可为惰性气体,例如N2或其他适合的气体。
如第2B图所示,在反应腔室内导入前驱物210于介电层110及导电部件120上,以执行后续的沉积工艺。原子131的层曝露在前驱物210之下,且与前驱物210反应。根据一些实施例,如第2B图所示,前驱物210的一些分子可化学键结至原子131的层。结果,原子133的层(或单层)沉积至原子131的层上。
前驱物210可称为反应物前驱物。前驱物210可为气态前驱物。在一些实施例,前驱物210为含氮前驱物或其他适合的前驱物。因此,原子133包含N原子或由N原子组成。结果,原子133的层和原子131的层形成氮化铝化合物。前驱物210的例子可包含氨(NH3)、肼(N2H4)、其他适合的含氮材料及上述组合。在一些实施例,前驱物210借由承载气体输入或流入反应腔室。承载气体可为惰性气体或其他适合的气体。
在一些实施例,在如第2B图所示的沉积工艺中,移除原子132。原子133取代原子132。例如,如上所述,原子131可为Al-(CH3)*中的Al原子,且原子132可为Al-(CH3)*中的C原子。含氮前驱物210的分子与Al-(CH3)*反应,以形成氮化铝化合物。因此,Al-(CH3)*中的甲基基团被移除。
在一些实施例,在如第2B图所示的沉积工艺中,操作温度介于约300℃至约400℃的范围间。在一些实施例,在如第2B图所示的沉积工艺中,操作压力介于约2Torr至约6Torr的范围间。在一些实施例,在如第2B图所示的沉积工艺中,操作时间介于约2秒至约6秒的范围间。然而,本发明实施例并非限定于此范围。
在前驱物210停止流动后,清洗反应腔室。清洗气体流入反应腔室,以移除多余且未反应的前驱物210及副产物。清洗气体可为惰性气体,例如N2或其他适合的气体。
根据一些实施例,在如第2B图所示的沉积工艺中,在清洗气体流动后,一些原子132留在原子131的层上。例如,前驱物210与来自前驱物200的Al-(CH3)*之间的反应可未完全反应。结果,介电层110及导电部件120上留下C原子132。原子132可与原子133混合。
然后,如第2C图所示,重复一或多次的沉积循环,其包含如第2A-2B图所述的操作序列,以形成具有所需厚度的蚀刻停止层130。例如,在如第2B图所示的结构上执行如第2A图所示的操作。如第2B图所示的结构曝露于前驱物200下,且与前驱物200反应。结果,另一个原子131的层沉积于原子133的层上方。接下来,执行如第2B图所示的操作,以沉积另一个原子133的层。为了简洁,第2C图显示的沉积循环(包含如第2A-2B图所示的操作)为重复一次。然而,本发明实施例并不限定于此。在其他实施例,沉积循环重复二次或者更多次。
如第2C图所示,多个沉积循环后,原子131的多个层和原子133的多个层交互沉积在介电层110及导电部件120上。结果,原子131的层及原子133的层一起形成蚀刻停止层130。在一些实施例,蚀刻停止层130的厚度介于约至约的范围间。
如上所述,在每一道沉积循环后,可留下来自前驱物200的一些原子132。结果,根据一些实施例,如第2C图所示,蚀刻停止层130包含来自前驱物200的原子131及原子132,并包含来自前驱物210的原子133。在一些实施例,留下的原子132借由光谱检测。光谱可为X射线光电子(X-ray photoelectron,XPS)光谱、二次离子质谱(secondary ion mass,SIMS)或其他适合的光谱种类。
在一些实施例,蚀刻停止层130具有原子132相对于原子131、原子132和原子133(原子132/原子131+原子132+原子133)的原子百分比(at.%),其大于约1%。例如,蚀刻停止层130可具有约1.3at.%或大于约1.3at.%的原子132的浓度。在一些实施例,蚀刻停止层130具有原子131相对于原子131和原子133(原子131/原子131+原子133)的原子百分比,其大于约56%。例如,蚀刻停止层130可具有介于约57at.%至约58at.%的范围间的原子131的浓度,或大于约58at.%的原子131的浓度。在一些实施例,蚀刻停止层130中,与原子133相对于原子131和原子133(原子133/原子131+原子133)的原子百分比相比,可具有较高的原子131相对于原子131和原子133的原子百分比。在一些实施例,原子百分比或浓度借由光谱测量,例如XPS或SIMS。
然后,根据一些实施例,如第2D图所示,使反应物230对蚀刻停止层130进行处理。结果,借由反应物230移除或清除蚀刻停止层130内剩下的原子132。在一些实施例,在与执行沉积循环的相同的反应腔室内,将反应物230导入如第2C图所示的结构。
然而,本发明实施例并不限定于此。在一些实施例,将如第2C图所示的结构移到额外的反应腔室,并且,在额外的反应腔室内,使反应物230对蚀刻停止层130进行处理。因此,减少了如第2D图所示的形成蚀刻停止层130的操作时间,而增进制造效率且降低制造成本。
在一些实施例,蚀刻停止层130具有较低的原子132相对于原子131、原子132及133的原子百分比,其介于约0%至约1%的范围间。例如,蚀刻停止层130可具有约0.5at.%或约0.6at.%的原子132的浓度。经过如第2D图所示的处理后,可以说蚀刻停止层130大体上不具有原子132(例如C原子)。在一些实施例,由于从蚀刻停止层130内清除了C原子,因此减少或消除了因蚀刻停止层130内的C原子所导致的漏电。
在一些实施例,由于移除了原子132,如第2D图所示,多个空位(vacancy)240(或孔穴)形成于蚀刻停止层130内。根据一些实施例,为消除空位240,进一步使用反应物230或其他适合的反应物对蚀刻停止层130进行处理。可以或未连续地提供第2D图所示的反应物230以执行如第2E图所示的操作。反应物230提供给蚀刻停止层130额外的原子133。结果,如第2E图所示,额外的原子133填入空位240。减少了空位240的数量。经过如第2E图所示的处理后,蚀刻停止层130内的空位240可变少,或大体上没有空位(或缺陷)。
在一些实施例,经处理的蚀刻停止层130具有较低的原子131相对于原子131及原子133的原子百分比,其不大于约56%。在一些实施例,原子131相对于原子131及原子133的原子百分比介于约49%至约56%的范围间。如第2D-2E图所示的处理的情况,可最佳化(fine-tuned)以控制蚀刻停止层130的组成,并符合所需的原子131相对于原子131及原子133的原子百分比。
在某些情况下,由于不可避免的变异,原子131相对于原子131及原子133的原子百分比可不等于50%。蚀刻停止层130内的原子131的数量可不刚好等于蚀刻停止层130内原子133的数量。在一些实施例,蚀刻停止层130内原子131的数量大于蚀刻停止层130内原子133的数量。在一些实施例,原子131相对于原子131及原子133的原子百分比介于约50.5%至约56.0%的范围间,或介于约51%至约56%的范围间。然而,本发明的实施例并不限于此。
可在本发明的实施例做各种变化及变形。在其他实施例,蚀刻停止层130内原子131的数量小于蚀刻停止层130内原子133的数量。在一些实施例,原子131相对于原子131及原子133的原子百分比小于约50%,且介于约46.0%至约49.5%的范围间,或者介于约46%至约49%的范围间。然而,本发明的实施例并不限定于此。在其他实施例,蚀刻停止层130内原子131的数量大体上等于蚀刻停止层130内原子133的数量。
在一些实施例,在经过如第2D-2E图所示的处理之前,蚀刻停止层130具有小于约44%的原子133相对于原子131及原子133的原子百分比。在一些实施例,经处理的蚀刻停止层130具有较大的原子133相对于原子131及原子133的原子百分比,其介于约44%至约54%的范围间。增加后的原子133相对于原子131及原子133的原子百分比可介于约44.0%至约49.5%的范围间。或者,增加后的原子133相对于原子131及原子133的原子百分比可介于约50.5%至约54.0%的范围间。
在一些实施例,反应物230是气态反应物。在一些实施例,反应物230是含氮反应物或其他适合的反应物。反应物230的例子可包含NH3、其他适合的含氮材料及上述组合。反应物230及前驱物210可以或不用具有相同材料。在一些实施例,如第2D-2E图所示的处理中,操作温度介于约350℃至约450℃的范围间。在一些实施例,如第2D-2E图所示的处理中,操作压力介于约2Torr至约6Torr的范围间。在一些实施例,如第2D-2E图所示的处理中,操作时间介于约6秒至约20秒的范围间。然而,本发明实施例并非限定于此范围。
可在本发明的实施例做各种变化及变形。在其他实施例,反应物230是含等离子体反应物。在一些实施例,反应物230是含氮等离子体(例如由NH3产生的等离子体)或其他适合的等离子体。含等离子体反应物230与没有等离子体的前驱物210不同。
在一些实施例,使用含等离子体反应物230处理的过程中(如第2D-2E图所示),操作温度介于约350℃至约450℃的范围间。在一些实施例,使用含等离子体反应物230处理的过程中,操作压力介于约2Torr至约6Torr的范围间。在一些实施例,使用含等离子体反应物230处理的操作时间介于约6秒至约15秒的范围间。在一些实施例,用来形成含等离子体反应物230的射频(radio frequency,RF)功率介于约200W至约1000W的范围间。然而,本发明实施例并非限定于此范围。含等离子体反应物230可在较高、较低或上述组合的RF下产生。例如,较高的RF大于约13.6百万赫(MHz),而较小的RF小于约13.6MHz。
在一些实施例,反应物230包含等离子体。因此,让额外的原子133更快地填入空位240,减少了如第2D-2E图所示的处理的操作时间。含等离子体反应物230增进如第2D-2E图所示的处理的效率。
根据本发明的一些实施例,移除了留在蚀刻停止层130内的原子132而避免漏电。虽然移除原子132中,导致空位240形成于蚀刻停止层130内,然而更进一步使用反应物对蚀刻停止层进行处理,以提供额外的原子133填入空位240。结果,蚀刻停止层130内具有较低的空位240浓度,或大体上没有空位(或缺陷)。
在一些实施例,经处理的蚀刻停止层130具有较低的原子131相对于原子131及原子133的原子百分比,其介于约46%至约56%的范围间。蚀刻停止层130具有如此原子百分比,变得能在热循环中,充分地阻隔来自导电部件120的金属扩散(例如Cu扩散)至介电层150。因此,由于因蚀刻停止层130内的空位或缺陷而产生的扩散路径并未形成,因此避免了导电部件120的金属扩散至蚀刻停止层130,或经由蚀刻停止层130扩散至介电层150。可减少或消除由导电部件120的金属扩散至蚀刻停止层130及/或经由蚀刻停止层130而扩散所引起的漏电甚至短路。在用来形成开口160的蚀刻工艺(如第1C图所示)的过程中,也避免因金属扩散所引起的介电层150的蚀刻率下降。因此,明显地提升装置效能及半导体装置结构的可靠度。
如上所述,在一些实施例,蚀刻停止层130具有较低的原子131相对于原子131及原子133的原子百分比,其介于约46%至约56%的范围间。在某些情况下,原子131相对于原子131及原子133的原子百分比应不大于约56%。若原子131相对于原子131及原子133的原子百分比大于约56%,可能会有少量的空位240留在蚀刻停止层130内。这些空位240会在蚀刻停止层130内形成空洞和中空的路径。导电部件120的金属材料(例如Cu)可经由位于蚀刻停止层130内的路径移动或扩散至介电层150。结果,上述的金属扩散会引发漏电甚至短路,并降低介电层150的蚀刻率。
此外,若原子131相对于原子131及原子133的原子百分比大于约56%,蚀刻停止层130会处于不稳定的状态。更具体而言,较少的原子133会使得原子131及原子133之间的键结强度较弱。原子131变得会移动,且扩散至其他层。结果,会在蚀刻停止层130内形成更多的空洞及中空的路径,使得导电部件120的金属材料变得更容易扩散。然而,本发明实施例并不限定于此。在其他情况,原子131相对于原子131及原子133的原子百分比可大于约56%。
在某些情况下,原子131相对于原子131及原子133的原子百分比应不小于约46%。若原子131相对于原子131及原子133的原子百分比小于约46%,蚀刻停止层130的介电常数(K)会以不是所需要的方式而增加。结果,增加了RC延迟时间,也增加了功率消耗及操作时间。然而,本发明实施例并不限定于此。在其他情况,原子131相对于原子131及原子133的原子百分比可小于约46%。
在一些实施例,使用如第1A-1E及2A-2E图所示的形成方法形成半导体装置的内连线结构内的蚀刻停止层。然而,本发明的实施例并无限定于此。在其他实施例,上述形成方法可用来在半导体装置内任意适合的结构内形成蚀刻停止层或氮化铝层。
本发明的实施例可不只应用在具有平面FET的半导体装置结构,还可应用在具有FinFET的半导体装置结构。在一些实施例,具有FinFET半导体装置结构的材料及/或形成方法与上述的半导体装置结构的材料及/或形成方相似。本发明的实施例并不限定于此,且可在任意适合的科技世代下,应用在制造流程。
本发明的实施例提供半导体装置结构的形成方法。半导体装置结构包含蚀刻停止层,其用来帮助形成内连线结构的导电部件。蚀刻停止层可包含氮化铝。蚀刻停止层的形成包含依序沉积Al原子层和沉积N原子层于Al原子层上。在某些情况下,有额外的原子(例如C原子)留在蚀刻停止层内。为避免C原子所引发的漏电,对蚀刻停止层进行处理以移除C原子。虽然移除C原子会使得空位可形成于蚀刻停止层内,但对蚀刻停止层以足够的时间进行更进一步的处理,可确保消除空位。处理过程中,添加更多的N原子至蚀刻停止层内,以填入空位,以控制蚀刻停止层具有较低的铝原子相对于铝原子及氮原子(Al/Al+N)的原子百分比。例如,铝原子相对于铝原子及氮原子的原子百分比不大于约56%,会使得在热循环的过程中,蚀刻停止层能充分地防止内连线结构内的金属扩散(例如Cu扩散),并减少或消除因金属扩散所引发的漏电或短路。因此,能提升半导体装置结构的装置效能及可信度。
根据一些实施例,提供半导体装置结构的形成方法。上述方法包含形成第一介电层于半导体基底上。上述方法也包含形成第一导电部件于第一介电层内。上述方法还包含沉积包含Al原子的第一层,以覆盖第一介电层及第一导电部件。此外,上述方法包含沉积包含N原子的第二层于第一层上,使得第一层和第二层形成包含氮化铝的蚀刻停止层。蚀刻停止层包含空位且具有铝相对于铝及氮(Al/Al+N)的原子百分比。上述方法也包含用额外的N原子填入蚀刻停止层内的空位,以减少铝相对于铝及氮的原子百分比。上述方法还包含形成第二介电层于蚀刻停止层上。此外,上述方法包含形成第二导电部件于第二介电层和蚀刻停止层内,且第二导电部件连接至第一导电部件。
在一些实施例,铝相对于铝及氮的原子百分比减少至介于约46%至约56%的范围间。
在一些实施例,其中填入空位包含将蚀刻停止层曝露在含氮气体或等离子体下。
在一些实施例,其中蚀刻停止层包含碳(C)原子,且具有C相对于C、Al及N(C/C+Al+N)的原子百分比,且其中形成半导体装置结构的方法还包含:移除C原子以形成空位,并在减少铝相对于铝及氮的原子百分比之前,减少C相对于C、Al及N的原子百分比。
在一些实施例,借由将蚀刻停止层曝露在含氮气体或等离子体下,以减少C相对于C、Al及N的原子百分比。
在一些实施例,其中C原子与第二层混合,并且不与第一层混合,且空位形成于第二层内。
在一些实施例,利用原子层沉积(ALD)工艺形成蚀刻停止层,原子层沉积工艺包含多道沉积循环,且每一道沉积循环包含沉积第一层及沉积第二层,且其中在多道沉积循环后,执行填入空位。
在一些实施例,还包含在填入空位后和形成第二介电层前,形成保护层来覆盖蚀刻停止层,其中第二导电部件穿透保护层。
根据一些实施例,提供半导体装置结构的形成方法。上述方法包含形成导电部件于介电层内。上述方法也包含执行多道沉积循环,以形成氮化铝层来覆盖导电部件及介电层。每一道沉积循环包含导入含铝前驱物于导电部件及介电层上,以沉积Al原子层,并导入含氮前驱物于Al原子层上,以沉积N原子层,氮化铝层具有N相对于Al及N(Al/Al+N)的原子百分比。上述方法还包含在沉积循环后,导入含氮反应物于氮化铝层上,以增加N相对于Al及N的原子百分比。
在一些实施例,其中含铝前驱物提供C原子给氮化铝层,且在导入含氮反应物的过程中,从氮化铝层移除C原子。
在一些实施例,其中氮化铝层包含N原子内的空位,且在导入含氮反应物的过程中,减少空位数量。
在一些实施例,其中含氮前驱物及含氮反应物包含NH3气体。
在一些实施例,其中含氮前驱物包含气体,且含氮反应物包含等离子体反应物。
在一些实施例,其中在第一操作时间内执行导入含氮前驱物,在第二操作时间内执行导入含氮反应物,且其中第二操作时间比第一操作时间长。
在一些实施例,其中N相对于Al及N的原子百分比增加至介于约44%至约54%的范围间。
在一些实施例,其中在导入含氮反应物后,氮化铝层具有Al相对于Al及N的原子百分比,其中Al相对于Al及N的原子百分比大于或小于N相对于Al及N的原子百分比。
在一些实施例,还包含在增加N相对于Al及N的原子百分比后,形成第二介电层于氮化铝层上;蚀刻部份的第二介电层,直到露出氮化铝层以形成第二介电层内的开口;移除部份的氮化铝层以露出第一导电部件;以及形成第二导电部件于开口内,使第二导电部件连接至第一导电部件。
根据一些实施例,提供半导体装置结构。上述半导体装置结构包含位于半导体基底上的内连线结构。内连线结构包含位于第一介电层内的第一金属部件。内连线结构还包含覆盖第一介电层及第一金属部件的氮化铝层。氮化铝层具有Al相对于Al及N的原子百分比。Al相对于Al及N的原子百分比介于约46%至约56%的范围间。内连线结构还包含位于氮化铝层上的第二介电层。此外,内连线结构包含位于第二介电层和氮化铝层内的第二金属部件,且第二金属部件连接至第一金属部件。
在一些实施例,其中氮化铝层与第一金属部件接触,且具有介于约至约的范围间的厚度。
在一些实施例,还包含位于氮化铝层和第二介电层间的保护层,其中第二导电部件穿透保护层。
以上叙述许多实施例的特征,使本领域技术人员能够清楚理解本揭示的概念。本领域技术人员能够理解,其可利用本发明揭示内容作为基础,以设计或更动其他工艺及结构而完成相同于上述实施例的目的及/或达到相同于上述实施例的优点。本领域技术人员能够理解,不脱离本揭示的精神和范围的等效构造可在不脱离本揭示的精神和范围内作各种的更动、替代与润饰。

Claims (1)

1.一种半导体装置结构的形成方法,包括:
形成一第一介电层于一半导体基底上;
形成一第一导电部件于所述第一介电层内;
沉积一第一层,其包括铝原子,以覆盖所述第一介电层及所述第一导电部件;
沉积一第二层于所述第一层上,所述第二层包括氮原子,使得所述第一层及所述第二层形成一蚀刻停止层,所述蚀刻停止层包括氮化铝,其中所述蚀刻停止层包括一空位,并且具有铝相对于铝及氮的一原子百分比;
用额外的氮原子填入所述蚀刻停止层的所述空位,以减少铝相对于铝及氮的所述原子百分比;
形成一第二介电层于所述蚀刻停止层上;以及
形成一第二导电部件于所述第二介电层及所述蚀刻停止层内,使所述第二导电部件连接至所述第一导电部件。
CN201710891160.3A 2017-04-20 2017-09-27 半导体装置结构的形成方法 Pending CN108735658A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/492,603 2017-04-20
US15/492,603 US10373906B2 (en) 2017-04-20 2017-04-20 Structure and formation method of interconnection structure of semiconductor device

Publications (1)

Publication Number Publication Date
CN108735658A true CN108735658A (zh) 2018-11-02

Family

ID=63854684

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710891160.3A Pending CN108735658A (zh) 2017-04-20 2017-09-27 半导体装置结构的形成方法

Country Status (3)

Country Link
US (1) US10373906B2 (zh)
CN (1) CN108735658A (zh)
TW (1) TW201839909A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111341659A (zh) * 2018-12-19 2020-06-26 夏泰鑫半导体(青岛)有限公司 半导体结构的蚀刻停止层
CN112863999A (zh) * 2019-11-26 2021-05-28 中芯国际集成电路制造(上海)有限公司 刻蚀方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11322397B2 (en) * 2018-10-30 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices including formation of adhesion enhancement layer
US11502001B2 (en) * 2018-10-31 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned vias
US10801827B1 (en) * 2019-05-03 2020-10-13 At&T Intellectual Property I, L.P. Sensor based on smart response of two-dimensional nanomaterial and associated method
CN112201615B (zh) * 2020-09-09 2024-04-19 长江存储科技有限责任公司 半导体器件的焊盘制造方法及半导体器件制造方法
CN114395395B (zh) * 2022-01-27 2022-11-18 广东粤港澳大湾区黄埔材料研究院 具有高选择比的氮化硅蚀刻液及其制备方法和应用

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US9552979B2 (en) * 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US9214334B2 (en) * 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10566185B2 (en) * 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) * 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9627215B1 (en) * 2015-09-25 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111341659A (zh) * 2018-12-19 2020-06-26 夏泰鑫半导体(青岛)有限公司 半导体结构的蚀刻停止层
CN111341659B (zh) * 2018-12-19 2023-03-24 夏泰鑫半导体(青岛)有限公司 半导体结构的蚀刻停止层
CN112863999A (zh) * 2019-11-26 2021-05-28 中芯国际集成电路制造(上海)有限公司 刻蚀方法
CN112863999B (zh) * 2019-11-26 2023-10-27 中芯国际集成电路制造(上海)有限公司 刻蚀方法

Also Published As

Publication number Publication date
TW201839909A (zh) 2018-11-01
US10373906B2 (en) 2019-08-06
US20180308793A1 (en) 2018-10-25

Similar Documents

Publication Publication Date Title
CN108735658A (zh) 半导体装置结构的形成方法
TWI738062B (zh) 積體電路結構及其形成方法
US10700000B2 (en) Semiconductor structure and method making the same
US8222135B2 (en) Increasing reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
US7858510B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
CN101859727A (zh) 内连线结构
TWI795800B (zh) 半導體裝置及其形成方法
TWI810612B (zh) 積體電路結構及其製造方法
CN107180786A (zh) 半导体元件结构
US10658234B2 (en) Formation method of interconnection structure of semiconductor device
CN107180785A (zh) 半导体装置结构的形成方法
CN110660729B (zh) 半导体装置的形成方法
US11791206B2 (en) Method for forming semiconductor device
US10186454B2 (en) Semiconductor structure having etch stop layer and method of forming the same
TWI835123B (zh) 半導體結構及其形成方法
CN105489548A (zh) 一种半导体器件的制作方法
US20230274975A1 (en) Semiconductor structure and manufacturing method thereof
CN105097645B (zh) 一种半导体器件的制造方法
TWI750778B (zh) 積體電路結構及其形成方法
US8742587B1 (en) Metal interconnection structure
CN111312689B (zh) 集成电路的顶层铜工艺结构及其制造方法
CN108695237B (zh) 一种半导体器件及其制作方法
CN115295483A (zh) 半导体器件及其制作方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20181102

WD01 Invention patent application deemed withdrawn after publication