CN107785428A - 具有分离式平面场板结构的半导体器件及其制造方法 - Google Patents

具有分离式平面场板结构的半导体器件及其制造方法 Download PDF

Info

Publication number
CN107785428A
CN107785428A CN201610798345.5A CN201610798345A CN107785428A CN 107785428 A CN107785428 A CN 107785428A CN 201610798345 A CN201610798345 A CN 201610798345A CN 107785428 A CN107785428 A CN 107785428A
Authority
CN
China
Prior art keywords
field plate
layer
plate layer
separate type
drift region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201610798345.5A
Other languages
English (en)
Inventor
罗泽煌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CSMC Technologies Corp
Original Assignee
CSMC Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by CSMC Technologies Corp filed Critical CSMC Technologies Corp
Priority to CN201610798345.5A priority Critical patent/CN107785428A/zh
Priority to PCT/CN2017/096593 priority patent/WO2018040864A1/zh
Publication of CN107785428A publication Critical patent/CN107785428A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/404Multiple field plate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明提供一种具有分离式平面场板结构的半导体器件及其制造方法,所述方法包括:步骤一、提供半导体衬底,在所述半导体衬底上形成源极、漏极和栅极,在所述栅极和所述漏极之间的半导体衬底中形成有漂移区,步骤二、形成第一介质层,以覆盖所述半导体衬底的表面以及源极、漏极和栅极,步骤三、在所述第一介质层上形成第一场板层,且所述第一场板层至少部分位于所述漂移区的上方并靠近所述栅极一侧。根据本发明的制造方法,交替的执行介质层沉积和形成场板层的步骤,以形成包括一层、两层或多层场板层的分离式平面场板结构,实现工艺平台间兼容性的优点,形成的分离式平面场板结构缩短了漂移区电流路径,改善了器件的性能。

Description

具有分离式平面场板结构的半导体器件及其制造方法
技术领域
本发明涉及半导体技术领域,具体而言涉及一种具有分离式平面场板结构的半导体器件及其制造方法。
背景技术
传统的高压器件结构通常通过调整栅极的多晶硅长度,将多晶硅向漏极方向扩展以充当场板,场板对漂移区进行耗尽形成耗尽层,因此增加了横向耗尽层宽度,进而提高耐压(也即击穿电压)。另外,还需为场板在下方配置合适的场板氧化层以形成场板结构,而场板氧化层一般是在漂移区中漏极与栅极之间引入额外的氧化层,其所带来的负面效应限制了工艺的兼容性与组件的特性,难以整合低压到高压器件在一工艺平台中,尤其是先进工艺平台,因为低压器件中的小尺寸器件对有效沟道宽度(channel Width)非常敏感,如何控制有效沟道宽度是做好先进工艺平台的一项挑战,然而将高压器件加入平台中需要引入额外氧化层作为高压器件的场板氧化层,额外氧化层的形成方法往往需要额外的氧化层生长与漂洗工艺,造成有源区的有效沟道宽度发生不可逆的改变,进而影响工艺平台间的兼容性。
若不引入额外氧化层作为高压器件的场板氧化层,而是使用寄生的氧化层(例如双栅场板氧化层,STI等)来实现高压器件,虽然能维持有效沟道宽度不变,但寄生的氧化层限制了氧化层厚度与形状,造成电流流经漂移区的路径弯曲变长,直接影响了高压器件的表现,降低了高压器件的性能。
通过固定场板氧化层厚度并调整多晶硅的长度来实现所需的高压器件是一种常见的方法,但是因此增加了栅极电荷(Qgd)。由于场板与栅极的多晶硅不可分离,越高压的器件场板越长,则其栅极电荷(Qgd)越大,限制了高压器件最终特性。
因此,有必要提出一种新具有分离式平面场板结构的半导体器件及其制造方法,以解决上述技术问题。
发明内容
在发明内容部分中引入了一系列简化形式的概念,这将在具体实施方式部分中进一步详细说明。本发明的发明内容部分并不意味着要试图限定出所要求保护的技术方案的关键特征和必要技术特征,更不意味着试图确定所要求保护的技术方案的保护范围。
针对现有技术的不足,本发明一方面提供一种具有分离式平面场板结构的半导体器件的制造方法,包括:
步骤一、提供半导体衬底,在所述半导体衬底上形成源极、漏极和栅极,在所述栅极和所述漏极之间的半导体衬底中形成有漂移区,
步骤二、形成第一介质层,以覆盖所述半导体衬底的表面以及源极、漏极和栅极,
步骤三、在所述第一介质层上形成第一场板层,且所述第一场板层至少部分位于所述漂移区的上方并靠近所述栅极一侧。
进一步,所述第一场板层全部位于所述漂移区的上方或所述第一场板层部分位于所述栅极的上方。
进一步,在所述步骤三之后还包括以下步骤:
步骤四、形成第二介质层,以覆盖所述第一介质层的表面以及第一场板层,
步骤五、在所述第二介质层上形成第二场板层,且所述第二场板层位于所述漂移区的上方并靠近所述第一场板层一侧,
交替循环执行所述步骤四和所述步骤五一次以上,且后一步骤形成的第二场板层靠近相邻前一步骤形成的第二场板层一侧。
进一步,上下相邻两层场板层在垂直方向上完全错开或部分重叠。
进一步,所述第二介质层比所述第一介质层厚,后一步骤形成的所述第二介质层比相邻前一步骤形成的所述第二介质层厚。
进一步,在所述步骤三之后还包括以下步骤:
步骤四、减薄所述第一介质层覆盖有场板层之外的区域以及,
步骤五、在减薄后的所述第一介质层上形成第二场板层,且所述第二场板层位于所述漂移区的上方并靠近所述第一场板层一侧,
交替循环执行所述步骤四和所述步骤五一次以上,且后一步骤形成的第二场板层靠近相邻前一步骤形成的第二场板层一侧。
进一步,在所述步骤三之后还包括以下步骤:
步骤四、刻蚀覆盖有场板层之外的介质层直至露出半导体衬底以及,
步骤五、形成第二介质层,以覆盖所述半导体衬底的表面以及露出的场板层表面,在所述第二介质层上形成第二场板层,且所述第二场板层位于所述漂移区的上方并靠近所述第一场板层一侧,
交替循环执行所述步骤四和所述步骤五一次以上,且后一步骤形成的第二场板层靠近相邻前一步骤形成的第二场板层一侧。
进一步,所述第一场板层包括Ag、Au、Cu、Pd、Cr、Mo、Ti、Ta、W和Al中的一种或几种金属材料或多晶硅半导体材料或金属硅化物。
进一步,在所述步骤三之后,还包括以下步骤:
沉积第三介质层,以覆盖所述第一介质层的表面以及所述第一场板层,
平坦化所述第三介质层,其中,所述第三介质层和所述第一介质层为相同的材料。
本发明实施例二还提供一种具有分离式平面场板结构的半导体器件,包括:
半导体衬底,在所述半导体衬底上形成有源极、漏极和栅极,在所述栅极和所述漏极之间的半导体衬底中形成有漂移区;
第一介质层,覆盖所述半导体衬底的表面以及源极、漏极和栅极;
第一场板层,形成在所述第一介质层上,所述第一场板层至少部分位于所述漂移区的上方并靠近所述栅极一侧。
进一步,所述第一场板层全部位于所述漂移区的上方或所述第一场板层部分位于所述栅极的上方。
进一步,还包括:
第二介质层,覆盖所述第一介质层的表面以及所述第一场板层,
第二场板层,形成在所述第二介质层上,且所述第二场板层至少部分位于所述漂移区的上方并靠近所述第一场板层一侧,
其中,包括交替层叠的所述第二介质层和所述第二场板层一层以上,且上层的第二场板层靠近与其相邻的下层的第二场板层一侧。
进一步,上下相邻两层场板层在垂直方向上完全错开或部分重叠。
进一步,所述第二介质层比所述第一介质层厚,且上层的所述第二介质层比与其相邻的下层的所述第二介质层厚。
进一步,所述第一场板层包括Ag、Au、Cu、Pd、Cr、Mo、Ti、Ta、W和Al中的一种或几种金属材料或多晶硅半导体材料或金属硅化物。
进一步,还包括:
第三介质层,覆盖第一介质层的表面以及第一场板层,其中,所述第三介质层和所述第一介质层为相同的材料。
根据本发明的制造方法,在沉积介质层的过程中,交替的执行介质层沉积和形成场板层的步骤,以形成包括一层、两层或多层场板层的分离式平面场板结构,由于不引入额外氧化层,故前段工艺不会发生改变,实现工艺平台间兼容性的优点。于后段介质层沉积过程中加入场板,实现了多层分离式平面场板结构的工艺架构,还可自由调整场板下的氧化层,且通过本发明的制造方法,形成的分离式平面场板结构缩短了漂移区电流路径,改善了器件的性能。
附图说明
本发明的下列附图在此作为本发明的一部分用于理解本发明。附图中示出了本发明的实施例及其描述,用来解释本发明的原理。
附图中:
图1A示出了本发明一具体实施方式的具有分离式平面场板结构的半导体器件的制造方法的实施所获得结构的示意图;
图1B示出了本发明另一具体实施方式的具有分离式平面场板结构的半导体器件的制造方法的实施所获得结构的示意图;
图2示出了本发明一具体实施方式的具有分离式平面场板结构的半导体器件的SEM图;
图3示出了为本发明一具体实施方式的半导体器件的制造方法的流程图。
具体实施方式
在下文的描述中,给出了大量具体的细节以便提供对本发明更为彻底的理解。然而,对于本领域技术人员而言显而易见的是,本发明可以无需一个或多个这些细节而得以实施。在其他的例子中,为了避免与本发明发生混淆,对于本领域公知的一些技术特征未进行描述。
应当理解的是,本发明能够以不同形式实施,而不应当解释为局限于这里提出的实施例。相反地,提供这些实施例将使公开彻底和完全,并且将本发明的范围完全地传递给本领域技术人员。在附图中,为了清楚,层和区的尺寸以及相对尺寸可能被夸大。自始至终相同附图标记表示相同的元件。
应当明白,当元件或层被称为“在...上”、“与...相邻”、“连接到”或“耦合到”其它元件或层时,其可以直接地在其它元件或层上、与之相邻、连接或耦合到其它元件或层,或者可以存在居间的元件或层。相反,当元件被称为“直接在...上”、“与...直接相邻”、“直接连接到”或“直接耦合到”其它元件或层时,则不存在居间的元件或层。应当明白,尽管可使用术语第一、第二、第三等描述各种元件、部件、区、层和/或部分,这些元件、部件、区、层和/或部分不应当被这些术语限制。这些术语仅仅用来区分一个元件、部件、区、层或部分与另一个元件、部件、区、层或部分。因此,在不脱离本发明教导之下,下面讨论的第一元件、部件、区、层或部分可表示为第二元件、部件、区、层或部分。
空间关系术语例如“在...下”、“在...下面”、“下面的”、“在...之下”、“在...之上”、“上面的”等,在这里可为了方便描述而被使用从而描述图中所示的一个元件或特征与其它元件或特征的关系。应当明白,除了图中所示的取向以外,空间关系术语意图还包括使用和操作中的器件的不同取向。例如,如果附图中的器件翻转,然后,描述为“在其它元件下面”或“在其之下”或“在其下”元件或特征将取向为在其它元件或特征“上”。因此,示例性术语“在...下面”和“在...下”可包括上和下两个取向。器件可以另外地取向(旋转90度或其它取向)并且在此使用的空间描述语相应地被解释。
在此使用的术语的目的仅在于描述具体实施例并且不作为本发明的限制。在此使用时,单数形式的“一”、“一个”和“所述/该”也意图包括复数形式,除非上下文清楚指出另外的方式。还应明白术语“组成”和/或“包括”,当在该说明书中使用时,确定所述特征、整数、步骤、操作、元件和/或部件的存在,但不排除一个或更多其它的特征、整数、步骤、操作、元件、部件和/或组的存在或添加。在此使用时,术语“和/或”包括相关所列项目的任何及所有组合。
这里参考作为本发明的理想实施例(和中间结构)的示意图的横截面图来描述发明的实施例。这样,可以预期由于例如制造技术和/或容差导致的从所示形状的变化。因此,本发明的实施例不应当局限于在此所示的区的特定形状,而是包括由于例如制造导致的形状偏差。例如,显示为矩形的注入区在其边缘通常具有圆的或弯曲特征和/或注入浓度梯度,而不是从注入区到非注入区的二元改变。同样,通过注入形成的埋藏区可导致该埋藏区和注入进行时所经过的表面之间的区中的一些注入。因此,图中显示的区实质上是示意性的,它们的形状并不意图显示器件的区的实际形状且并不意图限定本发明的范围。
为了彻底理解本发明,将在下列的描述中提出详细的制造方法,以便阐释本发明提出的技术方案。本发明的较佳实施例详细描述如下,然而除了这些详细描述外,本发明还可以具有其他实施方式。
实施例一
为了解决现有技术存在的问题,本发明提供一种半导体器件的制造方法,如图3所示,其包括以下主要步骤:
步骤S1,提供半导体衬底,在所述半导体衬底上形成源极、漏极和栅极,在所述栅极和所述漏极之间的半导体衬底中形成有漂移区,
步骤S2,形成第一介质层,以覆盖所述半导体衬底的表面以及源极、漏极和栅极,
步骤S3,在所述第一介质层上形成第一场板层,且所述第一场板层至少部分位于所述漂移区的上方并靠近所述栅极一侧。
根据本发明的制造方法,在沉积介质层的过程中,交替的执行介质层沉积和形成场板层的步骤,以形成包括一层、两层或多层场板层的分离式平面场板结构,由于不引入额外氧化层,故前段工艺不会发生改变,实现工艺平台间兼容性的优点,于后段介质层沉积过程中加入场板,实现了多层分离式平面场板结构的工艺架构,还可自由调整场板下的氧化层。
下面,参考图1A、图1B和图2对本发明的半导体器件的制造方法做详细描述,其中,图1A示出了本发明一具体实施方式的具有分离式平面场板结构的半导体器件的制造方法的实施所获得结构的示意图;图1B示出了本发明另一具体实施方式的具有分离式平面场板结构的半导体器件的制造方法的实施所获得结构的示意图;图2示出了本发明一具体实施方式的分离式平面场板结构的半导体器件的SEM图。
作为示例,本发明的半导体器件的制造方法,包括以下步骤:
首先,如图1A所示,提供半导体衬底100。
具体地,半导体衬底100的构成材料可以采用未掺杂的单晶硅、掺杂有杂质的单晶硅、绝缘体上硅(SOI)、绝缘体上层叠硅(SSOI)、绝缘体上层叠锗化硅(S-SiGeOI)、绝缘体上锗化硅(SiGeOI)以及绝缘体上锗(GeOI)等。作为示例,在本实施例中,半导体衬底100的构成材料选用单晶硅。
所述半导体衬底100还可以为P型半导体衬底或者N型半导体衬底,例如N型高压器件则可选择使用P型半导体衬底,而P型高压器件则可选择使用N型半导体衬底。
示例性地,在所述半导体衬底中形成有浅沟槽隔离结构(STI),以定义有源区。
示例性地,在所述半导体衬底100中形成有漂移区(未示出)。
根据具体的器件的类型可使用合适的方法形成漂移区,例如,若制备N型高压器件,则对所述半导体衬底100进行N型离子掺杂,以在衬底内形成N型漂移区,若制备P型高压器件,则对半导体衬底100进行P型离子掺杂,形成P型漂移区。
掺杂一般是通过注入的方法实现。所需要的掺杂浓度越高,则注入过程中的注入剂量相应地也应该越高。一般来说,漂移区的掺杂浓度较低,相当于在源极和漏极之间形成一个高阻层,能够提高击穿电压,并减小了源极和漏极之间的寄生电容,有利于提高频率特性。例如,在根据本发明的一个实施例中,注入杂质为磷,漂移区的注入剂量可以为1.0×1012~1.0×1013cm-2
在一个示例中,还可在半导体衬底100中形成体区,体区位于所述漂移区的外侧,并与漂移区间隔,在体区和漂移区之间一般为器件的沟道区,其中,体区和漂移区具有相反的导电类型,也即,漂移区为N型时,体区为P型,或者,漂移区为P型时,体区为N型,且漂移区和沟道区也具有相反的导电类型。
还可在半导体衬底100中形成其他的阱区等,在此不做赘述。
进一步地,如图1A、图1B所示,在所述半导体衬底100上形成有覆盖沟道区的栅极101,栅极包括位于半导体衬底100表面上的栅极介电层以及位于栅极介电层上的栅极层。
在一个示例中,形成栅极101的方法可以包括以下步骤:在半导体衬底100上依次形成栅极介电层和栅极层,图案化栅极介电层和所述栅极层以形成栅极101。在一实施例中,栅极介电层可以包括传统的电介质材料诸如具有电介质常数从大约4到大约20(真空中测量)的硅的氧化物、氮化物和氮氧化物。栅极层由多晶硅材料组成,一般也可使用金属、金属氮化物、金属硅化物或类似化合物作为栅极层的材料。栅极层优选的形成方法包括化学气相沉积法(CVD),如低温化学气相沉积(LTCVD)、低压化学气相沉积(LPCVD)、快热化学气相沉积(LTCVD)、等离子体化学气相沉积(PECVD),也可使用例如溅镀及物理气相沉积(PVD)等一般相似方法。栅极层的厚度可以根据器件的尺寸使用适合的厚度,在此不做具体限制。
随后,还可选择性地,在所述栅极101的侧壁上形成间隙壁(未示出)。所述间隙壁可以为氧化硅、氮化硅、氮氧化硅中一种或者它们组合构成。作为本实施例的一中实施方式,所述间隙壁为氧化硅、氮化硅共同组成,具体工艺为:在半导体衬底上形成第一氧化硅层、第一氮化硅层以及第二氧化硅层,然后采用蚀刻方法形成间隙壁。
示例性地,进行离子注入,在体区中形成与体区导电类型相同的体区引出区,例如,体区为P型,则体区引出区则也可以为P型,且其杂质掺杂浓度大于体区的杂质掺杂浓度,例如体区引出区为P型杂质重掺杂。
随后,执行源漏离子注入,以在栅极101两侧的半导体衬底100中形成源极和漏极(未示出),其中,所述漏极形成在所述漂移区中,所述漏极与所述漂移区具有相同的导电类型,例如,所述漂移区为N型漂移区,所述漏极和所述源极则可以为N型源极和漏极,其还可以为N型掺杂离子重掺杂的源极和漏极。
接着,在所述栅极101的外侧形成分离式平面场板结构,其中,形成所述分离式平面场板结构的方法包括:
沉积第一介质层1031,以覆盖所述栅极101以及所述半导体衬底100的表面(包括源极和漏极的表面),在所述第一介质层1031上形成第一场板层1041,且所述第一场板层1041至少部分位于所述漂移区的上方并靠近所述栅极101一侧。
在一个示例中,在沉积第一介质层1031之前,还可选择性的形成接触孔蚀刻停止层102,以覆盖所述栅极101以及半导体衬底100的表面,接触孔蚀刻停止层102的材料可以为SiCN、SiN、SiC、SiOF、SiON等材料中的一种或几种。
随后,在所述栅极101的外侧形成分离式平面场板结构。
在一个实施方式中,如图1A所示,可以形成包括一层场板层结构的分离式平面场板结构,包括步骤S11至步骤S12:
步骤S11,沉积第一介质层1031,以覆盖所述半导体衬底100的表面以及源极、漏极和栅极101;
步骤S12,在所述第一介质层1031上形成第一场板层1041,且所述第一场板层1041至少部分位于所述漂移区的上方并靠近所述栅极101一侧,示例性地,所述第一场板层1041部分位于所述栅极101的上方,所述第一场板层1041包括位于所述栅极上的部分和位于漂移区上的部分,在另一个示例中,所述第一场板层1041还可以全部位于所述漂移区上方;
之后,还可在形成分离式平面场板结构之后,沉积第三介质层,以覆盖所述第一介质层1031的表面以及所述第一场板层1041,平坦化所述第三介质层,其中,所述第三介质层和所述第一介质层为相同的材料。
沉积形成的第三介质层的总厚度范围可以为10000~20000埃之间,例如,12000埃、14000埃、16000埃、18000埃等,上述厚度范围仅作为示例,具体根据器件工艺需要进行合理设定,随后对第三介质层进行平坦化至目标厚度,可以使用化学机械研磨实现所述平坦化。
在一个实施方式中,如图1B所示,可以形成包括两层场板层结构的分离式平面场板结构,具体地,形成如图1B所示的分离式平面场板结构的步骤包括步骤A1至A4:
步骤A1,沉积第一介质层1031,以覆盖所述半导体衬底100的表面以及源极、漏极和栅极101;
步骤A2,在所述第一介质层1031上形成第一场板层1041,且所述第一场板层1041至少部分位于所述漂移区的上方并靠近所述栅极101一侧,示例性地,所述第一场板层1041部分位于所述栅极101的上方,所述第一场板层1041包括位于所述栅极上的部分和位于漂移区上的部分,在另一个示例中,所述第一场板层1041还可以全部位于所述漂移区上方;
步骤A3,如图1B所示,沉积第二介质层1032,以覆盖所述第一介质层1031的表面以及第一场板层1041;
步骤A4,在所述第二介质层1032上形成第二场板层1042,且所述第二场板层1042位于所述漂移区的上方并靠近所述第一场板层一侧。
示例性地,所述第二场板层1042位于所述第一场板层的外侧,部分所述第二场板层1042与部分所述第一场板层1041上下重叠,在一个示例中,全部所述第二场板层位于所述漂移区的上方,在另一个示例中,所述第二场板层1042和所述第一场板层1041不存在重叠的部分。
进一步地,交替循环执行所述步骤A3和所述步骤A4一次以上,且后一步骤形成的第二场板层靠近相邻前一步骤形成的第二场板层一侧,也即,在后形成的第二场板层靠近相邻在先形成的第二场板层一侧,以进一步获得多于两层场板层的多层分离式平面场板结构。
进一步地,上下相邻两层场板层在垂直方向上完全错开或部分重叠。
进一步地,所述第二介质层比所述第一介质层厚,后一步骤形成的所述第二介质层比相邻前一步骤形成的所述第二介质层厚。
在另一个示例中,形成分离式平面场板结构的步骤包括步骤B1至B4:
步骤B1,沉积第一介质层,以覆盖所述半导体衬底的表面以及源极、漏极和栅极;
步骤B2,在所述第一介质层上形成第一场板层,且所述第一场板层至少部分位于所述漂移区的上方并靠近所述栅极一侧,示例性地,所述第一场板层部分位于所述栅极的上方,所述第一场板层包括位于所述栅极上的部分和位于漂移区上的部分,在另一个示例中,所述第一场板层还可以全部位于所述漂移区上方。
步骤B3,减薄所述第一介质层覆盖有场板层之外的区域以及,也即减薄第一介质层未被场板层覆盖的区域,可使用任何使用的蚀刻方法进行减薄,具体的减薄后的厚度可根据实际工艺合理选择;
步骤B4,在减薄后的所述第一介质层上形成第二场板层,且所述第二场板层位于所述漂移区的上方并靠近所述第一场板层一侧,
交替循环执行所述步骤B3和所述步骤B4一次以上,且后一步骤形成的第二场板层靠近相邻前一步骤形成的第二场板层一侧,经过步骤B1至步骤B4可以形成具有多层场板层的分离式平面场板结构,其在后形成的场板层下方的介质层的厚度小于在先形成的场板层下方的介质层的厚度。
在另一个示例中,形成分离式平面场板结构的步骤包括步骤C1至C4:
步骤C1,沉积第一介质层,以覆盖所述半导体衬底的表面以及源极、漏极和栅极;
步骤C2,在所述第一介质层上形成第一场板层,且所述第一场板层至少部分位于所述漂移区的上方并靠近所述栅极一侧,示例性地,所述第一场板层部分位于所述栅极的上方,所述第一场板层包括位于所述栅极上的部分和位于漂移区上的部分,在另一个示例中,所述第一场板层还可以全部位于所述漂移区上方。
步骤C3,刻蚀覆盖有场板层之外的介质层直至露出半导体衬底,可通过本领域技术人员熟知的任何适合的刻蚀方法进行对介质层的刻蚀,包括但不限于干法刻蚀或者湿法刻蚀。
步骤C4,形成第二介质层,以覆盖所述半导体衬底的表面以及露出的场板层表面,在所述第二介质层上形成第二场板层,且所述第二场板层位于所述漂移区的上方并靠近所述第一场板层一侧,
交替循环执行所述步骤C3和所述步骤C4一次以上,且后一步骤形成的第二场板层靠近相邻前一步骤形成的第二场板层一侧,可通过每次沉积的介质层(包括第一介质层或者第二介质层)的厚度来调整场板层下方的介质层的厚度。
值得一提的是,在本文中,在形成分离式平面场板结构的步骤中,场板层可以表示第一场板层也可以表示第二场板层,可根据实际执行的具体步骤来确定。
所述第一介质层1031和所述第二介质层1032可为氧化硅层,包括利用热化学气相沉积(thermal CVD)制造工艺或高密度等离子体(HDP)制造工艺形成的有掺杂或未掺杂的氧化硅的材料层,例如未经掺杂的硅玻璃(USG)、磷硅玻璃(PSG)或硼磷硅玻璃(BPSG)。此外,第一介质层1031也可以是掺杂硼或掺杂磷的自旋涂布式玻璃(spin-on-glass,SOG)、掺杂磷的四乙氧基硅烷(PTEOS)或掺杂硼的四乙氧基硅烷(BTEOS)。
进一步地,每次沉积的第一介质层1031和第二介质层1032的厚度可以不同也可以相同,可根据具体的实际器件的需要进行合理设定。示例性地,每次沉积的第一介质层1031和第二介质层1032的厚度范围可以控制在200埃~4000埃。
该第一介质层1031和第二介质层1032即作为器件常规使用的作为绝缘作用的层间介电层,现有技术中使用一次沉积工艺沉积形成覆盖半导体衬底的层间介电层,并进行平坦化获得平坦的表面,而本发明中,在多次沉积第一介质层1031和第二介质层1032时加入场板层,因此第一介质层1031和第二介质层1032也可以直接作为本发明中形成的分离式平面场板结构的场板氧化层,且与现有技术相比,无需在进行额外的场板氧化层的形成步骤,因此避免了由于形成额外场板氧化层需要额外的氧化层生长与漂洗工艺而造成有源区的有效沟道宽度发生不可逆的改变的问题,进而实现工艺平台间兼容性的优点。
在一个示例中,形成第一场板层1041的步骤还包括步骤:在所述第一介质层1031的表面上沉积形成所述第一场板层1041,并对所述第一场板层1041进行图案化,以获得最终想要的第一场板层1041的图形,如图1A、图1B和图2所示。
示例性地,第一场板层1041的厚度范围可以为800~2500埃,该厚度范围仅作为示例,其他适合的厚度范围也可适用于本发明。
同理,可使用与形成第一场板层1041相同的方法形成第二场板层1042。
在一个示例中,所述第一场板层1041和第二场板层1042的材料可以为半导体材料,半导体材料其可以为Si、SiB、SiGe、SiC、SiP、SiGeB、SiCP、AsGa或其他III-V族的二元或三元化合物,例如,所述场板层104的材料可以为多晶硅。
可以利用诸如化学气相沉积等方法的常规技术形成多晶硅层,示例性地,多晶硅的形成方法可选用低压化学气相淀积(LPCVD)工艺。形成所述多晶硅的工艺条件包括:反应气体为硅烷(SiH4),所述硅烷的流量范围可为100~200立方厘米/分钟(sccm),如150sccm;反应腔内温度范围可为700~750摄氏度;反应腔内压力可为250~350毫米汞柱(mTorr),如300mTorr;所述反应气体中还可包括缓冲气体,所述缓冲气体可为氦气或氮气,所述氦气和氮气的流量范围可为5~20升/分钟(slm),如8slm、10slm或15slm。
其中,在每次沉积多晶硅场板层后,可利用光刻工艺,形成图案化的光刻胶层,覆盖部分多晶硅场板层,再以图案化的光刻胶层为掩膜,对露出的多晶硅场板层进行蚀刻,以在目标区域中形成想要的场板层图案,而经过多次交替循环执行介质层沉积和场板层形成步骤后,形成的分离式平面场板结构也相应的为多晶硅场板,其可以包括一层、两层或者多层的多晶硅层。
在一个示例中,所述第一场板层1041和第二场板层1042的材料还可以包括金属硅化物,可使用本领域任何常用的方法形成该金属硅化物,包括但不限于自对准硅化物形成工艺(salicide),例如,在每次沉积形成的前述多晶硅场板层的表面上沉积形成金属层(图中未示),金属层的材料可以选自Co、Ni、Ti、TiN、W和WSix中的一种或几种。接着加热衬底,造成金属层与其下的多晶硅层发生硅化作用,金属硅化层区域因而形成。接着使用可侵蚀金属层,但不致侵蚀金属硅化层区域的蚀刻剂,以将未反应的金属层除去,进而形成了金属硅化物材质的场板层,而经过多次交替循环执行介质层沉积和场板层形成步骤后,形成的分离式平面场板结构也相应的为金属硅化物场板,其可以包括一层、两层或者多层的金属硅化物。
在一个示例中,所述第一场板层1041和第二场板层1042的材料包括金属材料,所述金属材料包括Ag、Au、Cu、Pd、Cr、Mo、Ti、Ta、W和Al中的一种或几种,本实施例中,所述场板层104的材料可以为Al。可通过低压化学气相沉积(LPCVD)、等离子体辅助化学气相沉积(PECVD)、金属有机化学气相沉积(MOCVD)及原子层沉积(ALD)或其它先进的沉积技术形成。
其中,可在每次沉积的介质层的表面上沉积形成金属场板层,可利用光刻工艺,形成图案化的光刻胶层,覆盖部分金属场板层,再以图案化的光刻胶层为掩膜,对露出的金属场板层进行蚀刻,以在目标区域中形成想要的场板层图案,而经过多次交替循环执行介质层沉积和场板层形成步骤后,形成的分离式平面场板结构也相应的为金属场板,其可以包括一层、两层或者多层的金属场板层,采用金属材料作为场板层,相比于现有技术的多晶硅场板结构,降低了栅极电荷(Qgd),改善了器件的性能。
示例性地,如图1A、图1B和图2所示,其中,分离式平面场板结构形成在所述漂移区的上方、所述栅极和所述漏极之间的半导体衬底的表面上方,也即位于栅极和漏极之间的水平面上方,如此不会阻挡栅极和漏极之间的电流路径,因此缩短了漂移区电流路径,改善了器件的性能。而现有技术中埋入式的场板结构,一般是场板氧化层部分位于漂移区内,因此阻挡了栅极和漏极之间的电流路径,使得电流流向需绕过场板区行走,因此增加了漂移区电流路径,影响了器件的性能。进一步地,所述分离式平面场板结构中位于下层的所述场板层比位于上层的所述场板层更靠近所述栅极101。
进一步地,所述分离式平面场板结构中位于下层的场板层下方的所述介质层的厚度小于位于上层的所述场板层下方的所述介质层的厚度,可通过控制每次沉积的介质层的厚度,自由调整每层场板层下方的介质层的总厚度,也即实现对场板氧化层厚度的自由调整。
随后,还可在形成分离式平面场板结构之后,在半导体衬底上继续沉积第三介质层1033,第三介质层覆盖所述第二介质层的表面以及所述第二场板层,平坦化所述第三介质层,其中,所述第三介质层和所述第二介质层和所述第一介质层为相同的材料。该步骤后,沉积形成的第三介质层1033的总厚度范围可以为10000~20000埃之间,例如,12000埃、14000埃、16000埃、18000埃等,上述厚度范围仅作为示例,具体根据器件工艺需要进行合理设定,随后对第三介质层1033进行平坦化至目标厚度,可以使用化学机械研磨实现所述平坦化。
最后,在所述第三介质层1033中形成若干接触孔,在所述第三介质层1033表面上形成图案化的金属层,所述接触孔分别电连接所述源极、漏极、栅极、体区引出区以及分离式平面场板结构的每层场板层,其中,与栅极和分离式平面场板结构电连接的接触孔还进一步电连接到位于介质层上的同一金属层上,实现栅极和分离式平面场板结构的电连接,还可通过由多层金属层和接触孔组成的金属互连结构,将所述源极、漏极、栅极、体区引出区以及分离式平面场板结构的每层场板层引出,该互连结构中的接触孔和金属层的材料可以为铝或者铜等金属材料。
值得一提的是,本发明的半导体器件的制造方法可以适用于任何需要制备场板的器件的制备,可以为高压器件,其中高压器件可以为半导体技术领域中常见的高压器件,例如,DMOS(Double Diffused MOSFET,双扩散金属氧化物半导体场效应管),DMOS主要有两种类型垂直双扩散金属氧化物半导体场效应管VDMOSFET(vertical double-diffusedMOSFET,简称VDMOS)和横向双扩散金属氧化物半导体场效应LDMOSFET(lateral double-diffused MOSFET,简称LDMOS)。
综上所述,根据本发明的制造方法,在沉积介质层的过程中,交替的执行介质层沉积和形成场板层的步骤,以形成包括一层、两层或多层场板层的分离式平面场板结构,由于不引入额外氧化层,故前段工艺不会发生改变,实现工艺平台间兼容性的优点,于后段介质层沉积过程中加入场板,实现了多层分离式平面场板结构的工艺架构,还可自由调整场板下的氧化层,且通过本发明的制造方法,形成的分离式平面场板结构缩短了漂移区电流路径,改善了器件的性能。
实施例二
本发明还提供一种半导体器件,该半导体器件可以为使用前述的实施例一中的方法制备获得的半导体器件。
在一个实施方式中,如图1A所示,本发明的半导体器件包括:
半导体衬底100,在所述半导体衬底100上形成有源极、漏极和栅极101,在所述栅极101和所述漏极之间的半导体衬底中形成有漂移区;
第一介质层1031,覆盖所述半导体衬底100的表面以及源极、漏极和栅极101;
第一场板层1041,形成在所述第一介质层1031上,所述第一场板层至少部分位于所述漂移区的上方并靠近所述栅极101一侧,示例性地,所述第一场板层1041部分位于所述栅极101的上方,所述第一场板层1041包括位于所述栅极上的部分和位于漂移区上的部分,在另一个示例中,所述第一场板层1041还可以全部位于所述漂移区上方;
在分离式平面场板结构和半导体衬底上设置有第三介质层,其覆盖第一介质层1031的表面以及第一场板层1041,其中,所述第三介质层和所述第一介质层1031为相同的材料,该第三介质层具有平坦的表面。
在另一个实施方式中,如图1B和图2所示,本发明的半导体器件包括:
半导体衬底100,在所述半导体衬底100上形成有源极、漏极和栅极101,在所述栅极101和所述漏极之间的半导体衬底中形成有漂移区;
第一介质层1031,覆盖所述半导体衬底100的表面以及源极、漏极和栅极101;
第一场板层1041,形成在所述第一介质层1031上,所述第一场板层至少部分位于所述漂移区的上方并靠近所述栅极101一侧,示例性地,所述第一场板层1041部分位于所述栅极101的上方,所述第一场板层1041包括位于所述栅极上的部分和位于漂移区上的部分,在另一个示例中,所述第一场板层1041还可以全部位于所述漂移区上方;
第二介质层1032,覆盖所述第一介质层1031的表面以及所述第一场板层1041;
第二场板层1042,形成在所述第二介质层1032上,且所述第二场板层1042至少部分位于所述漂移区的上方并靠近所述第一场板层一侧,示例性地,所述第二场板层1042位于所述第一场板层的外侧,部分所述第二场板层1042与部分所述第一场板层1041上下重叠,在一个示例中,全部所述第二场板层位于所述漂移区的上方,在另一个示例中,所述第二场板层1042和所述第一场板层1041不存在重叠的部分。
其中,包括交替层叠的所述第二介质层1032和所述第二场板层1042一层以上,且上层的第二场板层靠近与其相邻的下层的第二场板层一侧。
进一步地,上下相邻两层场板层在垂直方向上完全错开或部分重叠。
进一步地,所述第二介质层比所述第一介质层厚,且上层的所述第二介质层比与其相邻的下层的所述第二介质层厚。
进一步地,在所述半导体衬底中形成有漂移区(未示出),所述漂移区位于所述栅极101的外侧,在所述漂移区中形成有漏极,所述漏极与所述漂移区具有相同的导电类型,所述漂移区与所述沟道区具有相反的导电类型。示例性地,所述分离式平面场板结构形成在所述漂移区的上方、所述栅极和所述漏极之间的半导体衬底上。
具体地,半导体衬底100的构成材料可以采用未掺杂的单晶硅、掺杂有杂质的单晶硅、绝缘体上硅(SOI)、绝缘体上层叠硅(SSOI)、绝缘体上层叠锗化硅(S-SiGeOI)、绝缘体上锗化硅(SiGeOI)以及绝缘体上锗(GeOI)等。作为示例,在本实施例中,半导体衬底100的构成材料选用单晶硅。
所述半导体衬底100还可以为P型半导体衬底或者N型半导体衬底,例如N型高压器件则可选择使用P型半导体衬底,而P型高压器件则可选择使用N型半导体衬底。
示例性地,在所述半导体衬底100中形成有漂移区(未示出)。
根据具体的器件的类型可使用合适的方法形成漂移区,例如,若制备N型高压器件,则对所述半导体衬底100进行N型离子掺杂,以在衬底内形成N型漂移区,若制备P型高压器件,则对半导体衬底100进行P型离子掺杂,形成P型漂移区。
在一个示例中,在半导体衬底100中形成有体区,体区位于所述漂移区的外侧,并与漂移区间隔,在体区和漂移区之间一般为器件的沟道区,其中,体区和漂移区具有相反的导电类型,也即,漂移区为N型时,体区为P型,或者,漂移区为P型时,体区为N型,且漂移区和沟道区也具有相反的导电类型。
还可在半导体衬底100中形成有其他的阱区等,在此不做赘述。
进一步地,如图1B所示,在所述半导体衬底100上形成有覆盖沟道区的栅极101。
在一个示例中,栅极包括位于半导体衬底100表面上的栅极介电层以及位于栅极介电层上的栅极层。栅极介电层可以包括传统的电介质材料诸如具有电介质常数从大约4到大约20(真空中测量)的硅的氧化物、氮化物和氮氧化物。栅极层由多晶硅材料组成,一般也可使用金属、金属氮化物、金属硅化物或类似化合物作为栅极层的材料。
在所述栅极101的侧壁上还可选择性地设置间隙壁(未示出)。所述间隙壁可以为氧化硅、氮化硅、氮氧化硅中一种或者它们组合构成。作为本实施例的一中实施方式,所述间隙壁为氧化硅、氮化硅共同组成。
在栅极101两侧的半导体衬底100中形成有源极和漏极(未示出),其中,所述漏极形成在所述漂移区中,所述漏极与所述漂移区具有相同的导电类型。
在所述栅极101的外侧形成有分离式平面场板结构,所述分离式平面场板结构包括若干层自下而上交替层叠的介质层和场板层,其中,可包括一层、两层或者多层交替层叠的介质层和场板层,在此不做具体限制。
在一个示例中,在分离式平面场板结构的下方还可选择性设置接触孔蚀刻停止层102,以覆盖所述栅极101以及半导体衬底100的表面,接触孔蚀刻停止层102的材料可以为SiO2、SiCN、SiN、SiC、SiOF、SiON等材料中的一种或几种。该接触孔蚀刻停止层102也可以作为场板氧化层的一部分。
所述第一介质层1031和第二介质层1032可为氧化硅层,包括利用热化学气相沉积(thermal CVD)制造工艺或高密度等离子体(HDP)制造工艺形成的有掺杂或未掺杂的氧化硅的材料层,例如未经掺杂的硅玻璃(USG)、磷硅玻璃(PSG)或硼磷硅玻璃(BPSG)。此外,第一介质层1031和第二介质层1032也可以是掺杂硼或掺杂磷的自旋涂布式玻璃(spin-on-glass,SOG)、掺杂磷的四乙氧基硅烷(PTEOS)或掺杂硼的四乙氧基硅烷(BTEOS)。
进一步地,每层介质层的厚度可以不同也可以相同,可根据具体的实际器件的需要进行合理设定。示例性地,每层第一介质层1031和第二介质层1032的厚度范围可以控制在200埃~4000埃。
该第一介质层1031和第二介质层1032即作为器件常规使用的作为绝缘作用的层间介电层,也可以直接作为本发明中形成的分离式平面场板结构的场板氧化层,且与现有技术相比,无需在进行额外的场板氧化层的形成步骤,因此避免了由于形成额外场板氧化层而造成有源区的有效沟道宽度发生不可逆的改变的问题,进而实现工艺平台间兼容性的优点。
在一个示例中,所述第一场板层1041和第二场板层1042的材料可以为半导体材料,半导体材料其可以为Si、SiB、SiGe、SiC、SiP、SiGeB、SiCP、AsGa或其他III-V族的二元或三元化合物,例如,所述第一场板层1041和第二场板层1042的材料可以为多晶硅。
所述第一场板层1041和第二场板层1042的厚度范围可以为800~2500埃,该厚度范围仅作为示例,其他适合的厚度范围也可适用于本发明
而经过多次交替循环执行介质层沉积和场板层形成步骤后,形成的分离式平面场板结构也相应的为多晶硅场板,其可以包括一层、两层或者多层的多晶硅层。
在一个示例中,所述第一场板层1041和第二场板层1042的材料还可以包括金属硅化物,可使用本领域任何常用的方法形成该金属硅化物,包括但不限于自对准硅化物形成工艺(salicide),例如,在每次沉积形成的前述多晶硅场板层的表面上沉积形成金属层(图中未示),金属层的材料可以选自Co、Ni、Ti、TiN、W和WSix中的一种或几种。接着加热衬底,造成金属层与其下的多晶硅层发生硅化作用,金属硅化层区域因而形成。接着使用可侵蚀金属层,但不致侵蚀金属硅化层区域的蚀刻剂,以将未反应的金属层除去,进而形成了金属硅化物材质的场板层,而经过多次交替循环执行介质层沉积和场板层形成步骤后,形成的分离式平面场板结构也相应的为金属硅化物场板,其可以包括一层、两层或者多层的金属硅化物。
在一个示例中,所述第一场板层1041和第二场板层1042的材料包括金属材料,所述金属材料包括Ag、Au、Cu、Pd、Cr、Mo、Ti、Ta、W和Al中的一种或几种,本实施例中,所述第一场板层1041和第二场板层1042的材料可以为Al。
其中,可在每次沉积的介质层的表面上沉积形成金属场板层,可利用光刻工艺,形成图案化的光刻胶层,覆盖部分金属场板层,再对露出的金属场板层进行蚀刻,以在目标区域中形成想要的场板层图案,而经过多次交替循环执行介质层沉积和场板层形成步骤后,形成的分离式平面场板结构也相应的为金属场板,其可以包括一层、两层或者多层的金属场板层,采用金属材料作为场板层,相比于现有技术的多晶硅场板结构,降低了栅极电荷(Qgd),改善了器件的性能。
示例性地,如图1B和图2所示,其中,分离式平面场板结构形成在所述漂移区的上方、所述栅极和所述漏极之间的半导体衬底的表面上方,也即位于栅极和漏极之间的水平面上方,如此不会阻挡栅极和漏极之间的电流路径,因此缩短了漂移区电流路径,改善了器件的性能。而现有技术中埋入式的场板结构,一般是场板氧化层部分位于漂移区内,因此阻挡了栅极和漏极之间的电流路径,使得电流流向需绕过场板区行走,因此增加了漂移区电流路径,影响了器件的性能。
进一步地,所述分离式平面场板结构中位于下层的场板层比位于上层的场板层更靠近所述栅极。
进一步地,所述分离式平面场板结构中位于下层的场板层下方的所述介质层的厚度小于位于上层的所述场板层下方的所述介质层的厚度,可通过控制每次沉积的介质层的厚度,自由调整每层场板层下方的介质层的总厚度,也即实现对场板氧化层厚度的自由调整。
在一个示例中,在分离式平面场板结构和半导体衬底上设置有第三介质层1033,其覆盖第二介质层1032的表面以及第二场板层1042,其中,所述第三介质层1033和所述第二介质层1032和第一介质层1031为相同的材料,该第三介质层1033具有平坦的表面。
最后,在第三介质层1033中形成有若干接触孔,所述接触孔分别电连接所述源极、漏极、栅极、体区引出区以及分离式平面场板结构的每层场板层,其中,与栅极和分离式平面场板结构电连接的接触孔还进一步电连接到位于介质层上的同一金属层上,实现栅极和分离式平面场板结构的电连接,还可通过由多层金属层和接触孔组成的金属互连结构,将所述源极、漏极、栅极、体区引出区以及分离式平面场板结构的每层场板层引出,该互连结构中的接触孔和金属层的材料可以为铝或者铜等金属材料。
本发明的半导体器件可以为任何的包括场板的器件,可以为高压器件,其中高压器件可以为半导体技术领域中常见的高压器件,例如,DMOS(Double Diffused MOSFET,双扩散金属氧化物半导体场效应管),DMOS主要有两种类型垂直双扩散金属氧化物半导体场效应管VDMOSFET(vertical double-diffused MOSFET,简称VDMOS)和横向双扩散金属氧化物半导体场效应LDMOSFET(lateral double-diffused MOSFET,简称LDMOS)。
综上所述,根据本发明的半导体器件包括一层、两层或多层场板层的分离式平面场板结构缩短了漂移区电流路径,改善了器件的性能,且由于本发明的半导体器件使用前述实施例一的方法制备获得,因此具有相同的优点。
本发明已经通过上述实施例进行了说明,但应当理解的是,上述实施例只是用于举例和说明的目的,而非意在将本发明限制于所描述的实施例范围内。此外本领域技术人员可以理解的是,本发明并不局限于上述实施例,根据本发明的教导还可以做出更多种的变型和修改,这些变型和修改均落在本发明所要求保护的范围以内。本发明的保护范围由附属的权利要求书及其等效范围所界定。

Claims (16)

1.一种具有分离式平面场板结构的半导体器件的制造方法,其特征在于,包括:
步骤一、提供半导体衬底,在所述半导体衬底上形成源极、漏极和栅极,在所述栅极和所述漏极之间的半导体衬底中形成有漂移区,
步骤二、形成第一介质层,以覆盖所述半导体衬底的表面以及源极、漏极和栅极,
步骤三、在所述第一介质层上形成第一场板层,且所述第一场板层至少部分位于所述漂移区的上方并靠近所述栅极一侧。
2.如权利要求1所述的具有分离式平面场板结构的半导体器件的制造方法,其特征在于,所述第一场板层全部位于所述漂移区的上方或所述第一场板层部分位于所述栅极的上方。
3.如权利要求1所述的具有分离式平面场板结构的半导体器件的制造方法,其特征在于,在所述步骤三之后还包括以下步骤:
步骤四、形成第二介质层,以覆盖所述第一介质层的表面以及第一场板层,
步骤五、在所述第二介质层上形成第二场板层,且所述第二场板层位于所述漂移区的上方并靠近所述第一场板层一侧,
交替循环执行所述步骤四和所述步骤五一次以上,且后一步骤形成的第二场板层靠近相邻前一步骤形成的第二场板层一侧。
4.如权利要求3所述的具有分离式平面场板结构的半导体器件的制造方法,其特征在于,上下相邻两层场板层在垂直方向上完全错开或部分重叠。
5.如权利要求3所述的具有分离式平面场板结构的半导体器件的制造方法,其特征在于,所述第二介质层比所述第一介质层厚,后一步骤形成的所述第二介质层比相邻前一步骤形成的所述第二介质层厚。
6.如权利要求1所述的具有分离式平面场板结构的半导体器件的制造方法,其特征在于,在所述步骤三之后还包括以下步骤:
步骤四、减薄所述第一介质层覆盖有场板层之外的区域以及,
步骤五、在减薄后的所述第一介质层上形成第二场板层,且所述第二场板层位于所述漂移区的上方并靠近所述第一场板层一侧,
交替循环执行所述步骤四和所述步骤五一次以上,且后一步骤形成的第二场板层靠近相邻前一步骤形成的第二场板层一侧。
7.如权利要求1所述的具有分离式平面场板结构的半导体器件的制造方法,其特征在于,在所述步骤三之后还包括以下步骤:
步骤四、刻蚀覆盖有场板层之外的介质层直至露出半导体衬底以及,
步骤五、形成第二介质层,以覆盖所述半导体衬底的表面以及露出的场板层表面,在所述第二介质层上形成第二场板层,且所述第二场板层位于所述漂移区的上方并靠近所述第一场板层一侧,
交替循环执行所述步骤四和所述步骤五一次以上,且后一步骤形成的第二场板层靠近相邻前一步骤形成的第二场板层一侧。
8.如权利要求1所述的具有分离式平面场板结构的半导体器件的制造方法,其特征在于,所述第一场板层包括Ag、Au、Cu、Pd、Cr、Mo、Ti、Ta、W和Al中的一种或几种金属材料或多晶硅半导体材料或金属硅化物。
9.如权利要求1所述的具有分离式平面场板结构的半导体器件的制造方法,其特征在于,在所述步骤三之后,还包括以下步骤:
沉积第三介质层,以覆盖所述第一介质层的表面以及所述第一场板层,
平坦化所述第三介质层,其中,所述第三介质层和所述第一介质层为相同的材料。
10.一种具有分离式平面场板结构的半导体器件,其特征在于,包括:
半导体衬底,在所述半导体衬底上形成有源极、漏极和栅极,在所述栅极和所述漏极之间的半导体衬底中形成有漂移区;
第一介质层,覆盖所述半导体衬底的表面以及源极、漏极和栅极;
第一场板层,形成在所述第一介质层上,所述第一场板层至少部分位于所述漂移区的上方并靠近所述栅极一侧。
11.如权利要求10所述的具有分离式平面场板结构的半导体器件,其特征在于,所述第一场板层全部位于所述漂移区的上方或所述第一场板层部分位于所述栅极的上方。
12.如权利要求10所述的具有分离式平面场板结构的半导体器件,其特征在于,还包括:
第二介质层,覆盖所述第一介质层的表面以及所述第一场板层,
第二场板层,形成在所述第二介质层上,且所述第二场板层至少部分位于所述漂移区的上方并靠近所述第一场板层一侧,
其中,包括交替层叠的所述第二介质层和所述第二场板层一层以上,且上层的第二场板层靠近与其相邻的下层的第二场板层一侧。
13.如权利要求12所述的具有分离式平面场板结构的半导体器件,其特征在于,上下相邻两层场板层在垂直方向上完全错开或部分重叠。
14.如权利要求12所述的具有分离式平面场板结构的半导体器件,其特征在于,所述第二介质层比所述第一介质层厚,且上层的所述第二介质层比与其相邻的下层的所述第二介质层厚。
15.如权利要求10所述的具有分离式平面场板结构的半导体器件,其特征在于,所述第一场板层包括Ag、Au、Cu、Pd、Cr、Mo、Ti、Ta、W和Al中的一种或几种金属材料或多晶硅半导体材料或金属硅化物。
16.如权利要求10所述的具有分离式平面场板结构的半导体器件,其特征在于,还包括:
第三介质层,覆盖第一介质层的表面以及第一场板层,其中,所述第三介质层和所述第一介质层为相同的材料。
CN201610798345.5A 2016-08-30 2016-08-30 具有分离式平面场板结构的半导体器件及其制造方法 Pending CN107785428A (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201610798345.5A CN107785428A (zh) 2016-08-30 2016-08-30 具有分离式平面场板结构的半导体器件及其制造方法
PCT/CN2017/096593 WO2018040864A1 (zh) 2016-08-30 2017-08-09 半导体器件及其制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610798345.5A CN107785428A (zh) 2016-08-30 2016-08-30 具有分离式平面场板结构的半导体器件及其制造方法

Publications (1)

Publication Number Publication Date
CN107785428A true CN107785428A (zh) 2018-03-09

Family

ID=61300052

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610798345.5A Pending CN107785428A (zh) 2016-08-30 2016-08-30 具有分离式平面场板结构的半导体器件及其制造方法

Country Status (2)

Country Link
CN (1) CN107785428A (zh)
WO (1) WO2018040864A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111200006A (zh) * 2018-11-19 2020-05-26 无锡华润上华科技有限公司 横向双扩散金属氧化物半导体场效应管及其制备方法
CN111354783A (zh) * 2018-12-21 2020-06-30 苏州捷芯威半导体有限公司 一种半导体器件及其制备方法
WO2021147626A1 (zh) * 2020-01-20 2021-07-29 无锡华润上华科技有限公司 半导体器件及其制备方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112993018A (zh) * 2019-12-02 2021-06-18 吴俊鹏 一种降低三五族半导体器件寄生电容的方法及三五族半导体器件结构
CN117438318B (zh) * 2023-12-19 2024-02-27 荣芯半导体(淮安)有限公司 一种半导体器件及其制备方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN201540894U (zh) * 2009-11-03 2010-08-04 苏州远创达科技有限公司 多重场板ldmos器件
CN102280482A (zh) * 2011-08-02 2011-12-14 清华大学 射频侧向扩散金属氧化物半导体器件及制备方法
CN202888188U (zh) * 2012-07-10 2013-04-17 苏州远创达科技有限公司 具有阶梯式多重不连续场板的ldmos器件
US20140187012A1 (en) * 2011-12-13 2014-07-03 Freescale Semiconductor, Inc. Customized shield plate for a field effect transistor
CN104282569A (zh) * 2013-07-05 2015-01-14 上海华虹宏力半导体制造有限公司 Rfldmos的制作工艺方法
CN104716177A (zh) * 2013-12-11 2015-06-17 上海华虹宏力半导体制造有限公司 一种改善漏电的射频ldmos器件及其制造方法
CN104882409A (zh) * 2014-02-27 2015-09-02 北大方正集团有限公司 一种具有集成电容的射频横向双扩散功率器件的制造方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2665101B1 (en) * 2012-05-14 2018-10-31 ams AG Method of producing a high-voltage LDMOS transistor
CN204118076U (zh) * 2014-07-28 2015-01-21 昆山华太电子技术有限公司 一种具有异质埋层的rf ldmos器件
CN105742365A (zh) * 2016-04-14 2016-07-06 东莞电子科技大学电子信息工程研究院 射频ldmos晶体管及其制作方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN201540894U (zh) * 2009-11-03 2010-08-04 苏州远创达科技有限公司 多重场板ldmos器件
CN102280482A (zh) * 2011-08-02 2011-12-14 清华大学 射频侧向扩散金属氧化物半导体器件及制备方法
US20140187012A1 (en) * 2011-12-13 2014-07-03 Freescale Semiconductor, Inc. Customized shield plate for a field effect transistor
CN202888188U (zh) * 2012-07-10 2013-04-17 苏州远创达科技有限公司 具有阶梯式多重不连续场板的ldmos器件
CN104282569A (zh) * 2013-07-05 2015-01-14 上海华虹宏力半导体制造有限公司 Rfldmos的制作工艺方法
CN104716177A (zh) * 2013-12-11 2015-06-17 上海华虹宏力半导体制造有限公司 一种改善漏电的射频ldmos器件及其制造方法
CN104882409A (zh) * 2014-02-27 2015-09-02 北大方正集团有限公司 一种具有集成电容的射频横向双扩散功率器件的制造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111200006A (zh) * 2018-11-19 2020-05-26 无锡华润上华科技有限公司 横向双扩散金属氧化物半导体场效应管及其制备方法
CN111354783A (zh) * 2018-12-21 2020-06-30 苏州捷芯威半导体有限公司 一种半导体器件及其制备方法
CN111354783B (zh) * 2018-12-21 2024-02-20 苏州捷芯威半导体有限公司 一种半导体器件及其制备方法
WO2021147626A1 (zh) * 2020-01-20 2021-07-29 无锡华润上华科技有限公司 半导体器件及其制备方法

Also Published As

Publication number Publication date
WO2018040864A1 (zh) 2018-03-08

Similar Documents

Publication Publication Date Title
US8461654B2 (en) Spacer shape engineering for void-free gap-filling process
CN107785428A (zh) 具有分离式平面场板结构的半导体器件及其制造方法
TWI484567B (zh) 半導體結構與其製造方法
US10636883B2 (en) Semiconductor device including a gate trench and a source trench
US20100252882A1 (en) MOS Transistor with Gate Trench Adjacent to Drain Extension Field Insulation
US10056461B2 (en) Composite masking self-aligned trench MOSFET
US11094817B2 (en) Drain extended NMOS transistor
CN111129123B (zh) 接触场板蚀刻的组合蚀刻停止层、集成芯片及其形成方法
CN104157688A (zh) 具有槽屏蔽电极结构的半导体器件
CN104701377B (zh) 具有应变层的半导体器件
US20200020803A1 (en) Composite etch stop layer for contact field plate etching
CN102738246B (zh) 具有金属栅电极的肖特基二极管及其形成方法
KR20140020712A (ko) 전계 효과 트랜지스터의 게이트 전극
CN111092123A (zh) 横向双扩散晶体管及其制造方法
WO2020024967A1 (zh) 横向扩散金属氧化物半导体器件及其制造方法
GB2366449A (en) Vertical replacement gate (VRG) MOSFET with condutive layer adjacent a source/drain region
US20080272410A1 (en) Self-Aligned Spacer Contact
US11855201B2 (en) Semiconductor structure
US11387323B2 (en) Extended drain MOS with dual well isolation
CN108428743A (zh) 金属/多晶硅栅极沟槽功率mosfet及其形成方法
US6448163B1 (en) Method for fabricating T-shaped transistor gate
TW202405891A (zh) 半導體裝置及其形成方法
US6743666B1 (en) Selective thickening of the source-drain and gate areas of field effect transistors
WO2013159455A1 (zh) 半导体结构及其制造方法
CN107689329A (zh) 鳍式场效应晶体管及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20180309