CN107256844B - 全衬底隔离finfet晶体管 - Google Patents

全衬底隔离finfet晶体管 Download PDF

Info

Publication number
CN107256844B
CN107256844B CN201710419750.6A CN201710419750A CN107256844B CN 107256844 B CN107256844 B CN 107256844B CN 201710419750 A CN201710419750 A CN 201710419750A CN 107256844 B CN107256844 B CN 107256844B
Authority
CN
China
Prior art keywords
fin
doped
substrate
layer
fins
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710419750.6A
Other languages
English (en)
Other versions
CN107256844A (zh
Inventor
N·劳贝特
P·卡雷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
STMicroelectronics lnc USA
Original Assignee
STMicroelectronics lnc USA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by STMicroelectronics lnc USA filed Critical STMicroelectronics lnc USA
Publication of CN107256844A publication Critical patent/CN107256844A/zh
Application granted granted Critical
Publication of CN107256844B publication Critical patent/CN107256844B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

通过在半传导沟道(鳍)与衬底之间插入绝缘层来防止FinFET器件中的沟道到衬底泄漏。类似地,通过在源极/漏极区域与衬底之间插入绝缘层隔离源极/漏极区域与衬底来防止FinFET器件中的源极/漏极到衬底泄漏。绝缘层物理和电隔离传导路径与衬底,因此防止电流泄漏。如果半传导鳍阵列由多层堆叠组成,则可以去除底部材料,因此产生在硅表面上方悬置的鳍阵列。然后可以向在剩余顶部鳍材料下面的所得间隙填充氧化物以更好地支撑鳍并且隔离鳍阵列与衬底。所得FinFET器件在栅极区域和源极/漏极区域二者中为全衬底隔离。

Description

全衬底隔离FINFET晶体管
分案说明
本申请是于2013年10月12日提交的申请号为201310489429.7、名称为“全衬底隔离FINFET晶体管”的中国发明专利申请的分案申请。
技术领域
本公开内容涉及集成电路晶体管的制作,并且具体涉及低泄漏三维FinFET(场效应晶体管)器件的制作。
背景技术
在数字电路中,晶体管是开关,该开关理想地:a)在它关断时传递零电流;b)在它导通时供应大电流流动;并且c)在导通与关断状态之间瞬时地切换。遗憾的是,在构造于集成电路中时晶体管并非是理想的并且往往即使在它关断时仍然泄漏电流。经过器件或者从器件泄漏的电流往往耗尽向器件供应功率的电池。多年来,通过缩减临界尺度以增加切换速度来改进集成电路晶体管性能。然而随着基于硅的晶体管的尺度继续缩减,维持包括关断状态泄漏的各种电特性的控制变得越来越有挑战性,而从缩减器件尺度获得的性能益处已经变得不太显著。因此一般有利的是通过包括改变材料和器件几何形状的备选手段减少晶体管中的漏电流。
集成电路通常并入FET,在这些FET中,电流响应于向栅极施加的电压流过在源极与漏极之间的半传导沟道。在图1A中示出并且以下更具体描述传统平面(2D)晶体管结构。为了提供对电流流动的更佳控制,已经开发有时称为3D晶体管的FinFET晶体管,诸如图1B中所示FinFET晶体管。FinFET是电子切换器件,在该电子切换器件中,传统FET的平面半传导沟道被替换为与衬底表面垂直向外延伸的半传导鳍(fin)。在这样的器件中,控制鳍中的电流流动的栅极在鳍的三侧周围卷包(wrap)以便从三个表面而不是一个表面影响电流流动。用FinFET设计实现的改进的控制产生更快的切换性能和减少的电流泄漏。
英特尔在2011年5月4日的通报中描述了这一类型的晶体管,将它冠以包括3D晶体管、3D三栅极晶体管或者FinFET的各种称谓。(例如参见在因特网上位于http://news.cnet.com/8301-13924_3-20059431-64.html的、标题为"How Intel's 3D techredefines the transistor"的文章;也参见:Kavalieros等人的美国公开NO.2009/0090976,公开于2009年4月9日;Rakshit等人的美国专利NO.8,120,073;Rios等人的美国专利NO.7,973,389;Hareland等人的美国专利NO.7,456,476;以及Chau等人的美国专利NO.7,427,794。)
在图2中示出半传导鳍阵列。通常,可以通过在鳍阵列之上保形地沉积公共栅极来形成多个晶体管的阵列。另外,可以通过在鳍阵列之上保形地沉积多个公共栅极来形成多栅极晶体管阵列。在源极与漏极区域之间有三个栅极的这样的FinFET阵列称为三栅极晶体管。
在开发FinFET之前,开发了应变硅晶体管以增加对半传导沟道中的电荷载流子的迁移率控制。向晶体管材料中引入压缩应变往往增加电荷迁移率,从而产生对向栅极施加的电压的改变的更快切换响应。可以例如通过用外延生长的硅化合物替换源极和漏极区域中或者沟道本身中的体硅来引入应变。术语外延指的是受控晶体生长工艺,在该工艺中从体晶体的表面生长新外延晶体层,而维持下面的体晶体管的相同晶体结构。
尽管有三维结构和应变硅材料提供的改进,晶体管仍然随着器件尺度缩减到1-50纳米的范围内而继续遭受某些类型的性能下降。这些性能下降具体包括在半传导沟道与衬底之间的电荷泄漏。
发明内容
根据如本文描述的一个实施例,通过在作为鳍的沟道与衬底之间插入绝缘层而隔离沟道与衬底来防止FinFET器件中的沟道到衬底泄漏。绝缘层物理和电隔离鳍与衬底,因此防止在鳍与衬底之间的电流泄漏。理论上,在无泄漏时,器件为全通或者全断。
如果鳍包含两种不同材料,则可以容易去除底部材料而留下顶部材料,因此产生在硅表面上方悬置的半传导鳍阵列。然后如果希望则可以用氧化物填充在剩余顶部鳍材料下面的所得间隙以更好地支撑鳍并且隔离鳍沟道阵列与衬底。
类似地,根据如本文描述的一个实施例,通过在源极/漏极区域与衬底之间插入绝缘层而隔离源极/漏极区域与衬底来防止FinFET器件中的源极/漏极到衬底泄漏。绝缘层物理和电隔离源极/漏极区域与衬底,因此防止在源极/漏极与衬底之间的电流泄漏。因此,所得FinFET器件在栅极区域和源极/漏极区域二者中为全衬底隔离。
附图说明
在附图中,相同标号标识相似元件。未必按比例绘制附图中的元件的尺寸和相对位置。
图1A是现有技术平面FET的图解透视图。
图1B是现有技术FinFET的图解透视图。
图2是从实际扫描电子显微镜(SEM)图像获得的外延生长的半传导鳍的阵列的透视图。
图3是示出在形成如本文描述的全隔离FinFET时的基本步骤的高级工艺流程图。
图4是示出在形成如本文描述的全隔离FinFET的工艺中的附加细节的中级工艺流程图。
图5A是示出根据一个实施例的可以用来向硅衬底注入掺杂物并且形成鳍堆叠的工艺步骤序列的工艺流程图。
图5B是图5A中所示工艺流程形成的器件轮廓的侧视图,其中示出组成鳍堆叠的掩盖(blanket)层。
图6A是示出可以用来形成虚设芯棒(mandrel)和氮化硅间隔物的又一工艺步骤序列的工艺流程图。
图6B是图6A中所示工艺流程形成的器件轮廓的侧视图,其中示出完成的牺牲结构。
图7A图示工艺流程图,该工艺流程图示出可以用来使用侧壁图像转移工艺来图案化鳍堆叠的又一工艺步骤序列的工艺流程图。
图7B是图7A中所示工艺流程形成的器件轮廓的侧视图,其中示出完成的多层外延鳍阵列。
图8A是工艺流程图,该工艺流程图示出可以用来为图7B中所示外延鳍阵列提供局部化隔离的又一工艺步骤序列。
图8B是图8A中所示工艺流程形成的器件轮廓的侧视图,其中建立鳍间隔离。
图9A是工艺流程图,该工艺流程图示出可以用来在鳍阵列的任一端上蚀刻和填充隔离沟槽的又一工艺步骤序列。
图9B是图9A中所示工艺流程形成的器件轮廓的侧视图,其中在鳍阵列与邻近区域之间提供横向隔离。
图10A、11A、12A、13A和14A是在其中将沟道和源极/漏极区域与衬底隔离的工艺期间沿着栅极区域中的FinFET器件轮廓的线A-A’切割的侧视图。
图10B、11B、12B、13B和14B是在其中将沟道和源极/漏极区域与衬底隔离的工艺期间沿着源极/漏极区域中的FinFET器件轮廓的线B-B’切割的侧视图。
图10C、11C、12C、13C和14C是如本文描述的两晶体管结构的透视图,这些透视图示出在形成隔离栅极和源极/漏极结构时栅极区域(A-A’)的改变和源极/漏极区域轮廓(B-B’)的改变。
图10D是示出可以用来沉积牺牲栅极和间隔物的又一工艺步骤序列的工艺流程图。
图11D是工艺流程图,该工艺流程图示出示出可以用来制备鳍的用于原位掺杂外延生长的顶层表面的又一工艺步骤序列。
图12D是工艺流程图,该工艺流程图示出可以用来去除底部鳍层以创建空隙的又一工艺步骤序列。
图13D是工艺流程图,该工艺流程图示出可以用来向空隙填充氧化物以隔离鳍与衬底的又一工艺步骤序列。
图14D是工艺流程图,该工艺流程图示出可以用来用可操作金属栅极替换牺牲栅极的又一工艺步骤序列。
具体实施方式
在以下描述中,阐述某些具体细节以便提供对公开的主题内容的各种方面的透彻理解。然而,无这些具体细节仍然可以实现公开的主题内容。在一些实例中,尚未具体描述包括本文公开的主题内容的实施例的公知结构和半导体处理方法以免模糊本公开内容的其它方面的描述。
除非上下文另有要求,贯穿说明书和所附权利要求,字眼“包括(comprise)”及其变化,诸如“包括(comprises)”和“包括(comprising)”将在开放、包含意义上加以解释,也就是解释为“包括但不限于”。
贯穿说明书对“一个实施例”或者“一实施例”的引用意味着结合该实施例描述的具体特征、结构或者特性包含于至少一个实施例中。因此,在贯穿说明书的各处出现短语“在一个实施例中”或者“在一实施例中”未必都指代相同方面。另外,可以在本公开内容的一个或者多个方面中以任何适当方式组合具体特征、结构或者特性。
贯穿说明书对绝缘材料或者半传导材料的引用可以包括除了用来举例说明呈现的晶体管器件的具体实施例的材料之外的各种材料。不应狭义地解释术语“外延硅化合物”使外延生长的结构例如限于Si或者SiGe,但是实际上广义地解释术语“外延硅化合物”覆盖可以从晶体硅表面外延生长的任何化合物。
贯穿说明书对用于沉积氮化硅、二氧化硅、金属或者相似材料的常规薄膜沉积技术的引用包括诸如化学气相沉积(CVD)、低压化学气相沉积(LPCVD)、金属有机化学气相沉积(MOCVD)、等离子体增强化学气相沉积(PECVD)、等离子体气相沉积(PVD)、原子层沉积(ALD)、分子束外延(MBE)、电镀、无电镀等这样的工艺。本文参照这样的工艺的示例描述具体实施例。然而,本公开内容和对某些沉积技术的引用不应限于描述的沉积技术。例如在一些境况中,可以备选地使用PVD来完成引用CVD的描述,或者可以备选地使用无电镀来实现指定电镀的描述。另外,对常规薄膜形成技术的引用可以包括原位生长膜。例如在一些实施例中,可以通过在受热室中使硅表面暴露于氧气或者潮气来实现控制氧化物生长至所需厚度。
贯穿说明书对半导体制作领域已知的用于图案化各种薄膜的常规光刻技术的引用包括涉及到光刻胶的旋涂-曝光-显影工艺序列。这样的光刻序列需要在光刻胶上旋涂、通过图案化的掩模使光刻胶的区域暴露于紫外光并且显影掉光刻胶的暴露(或者备选地未暴露)区域,由此向光刻胶转移正或者负掩模图案。光刻胶掩模然后可以用来将掩模图案蚀刻到一个或者多个下面的膜中。通常,如果后续蚀刻相对浅,则光刻胶掩模有效,这是因为可能在蚀刻工艺期间消耗光刻胶。否则,光刻胶可以用来图案化硬掩模,该硬掩模又可以用来图案化更厚的下面的膜。
贯穿说明书对半导体制作领域已知的用于选择性去除多晶硅、氮化硅、二氧化硅、金属、光刻胶、聚酰亚胺或者相似材料的常规蚀刻技术的引用包括诸如湿法化学蚀刻、反应离子(等离子体)蚀刻(RIE)、清洗、湿法清理、预清理、喷射清理、化学机械平坦化(CMP)等这样的工艺。本文参照这样的工艺的示例描述具体实施例。然而本公开内容和对某些沉积技术的引用不应限于描述的沉积技术。在一些实例中,两种这样的技术可以可互换。例如剥离光刻胶可能需要在湿法化学浴器中浸渍样本或者备选地向样本上直接喷射湿化学剂。
本文参照已经产生的FinFET结构的示例描述具体实施例;然而,本公开内容以及对某些材料、尺度以及处理步骤的细节和排序的引用为举例而不应限于所示公开内容和引用。
在图中,相同标号标识相似特征或者元件。未必按比例绘制图中的特征的尺寸和相对位置。
图1A示出在硅衬底102上构建的常规平面晶体管100。常规平面晶体管的部分包括有源区域104、源极106、漏极108、平面传导沟道110和栅极112。未示出的栅极电介质如本领域熟知的那样电隔离沟道与栅极。有源区域104占据衬底的顶层,该衬底可以用杂质来掺杂以创建具有净负或者净正电荷的阱。在常规平面晶体管100导通时,电流经过平面传导沟道110从源极106流向漏极108。通过施加栅极电压由栅极112控制平面传导沟道中的电流流动。与栅极电压关联的电场具有如果栅极电压超过某个阈值则接通常规平面晶体管100的效果。如果施加的栅极电压降至阈值电压以下,则常规平面晶体管100关断并且电流停止从源极106流向漏极108。由于栅极112仅能从一侧(即从平面传导沟道110的顶部)影响平面传导沟道110,所以向硅衬底102中的电荷泄漏往往在沟道/衬底结处出现。
图1B示出在硅衬底102上构建的常规FinFET器件150。与图1A中所示器件相似,常规FinFET器件150的部分包括有源区域104、源极152、漏极154、传导鳍沟道156和卷绕栅极(wrap-around)158。常规FinFET器件150的有源区域104可以用杂质来掺杂以创建具有净负或者净正电荷的阱。在常规FinFET器件150导通时,电流在卷绕栅极158的控制之下经过高的(tall)传导鳍沟道156从源极152流向漏极154。施加具有超过某个阈值电压值的值的电压接通常规FinFET器件150。如果施加的电压降至阈值电压值以下,则常规FinFET器件150关断,并且电流停止从源极152流向漏极154。由于卷绕栅极158从三侧影响传导鳍沟道156,所以实现对传导鳍沟道156的传导性质的改进控制。这样的改进控制使从传导鳍沟道156向硅衬底102的电荷泄漏虽然未被消除,但是被减少。由于鳍沟道160的载流容量比平面传导沟道110的载流容量大得多,所以常规FinFET器件150的切换特性也比常规平面晶体管100的切换特性有提高。
图2示出外延生长的半传导鳍阵列200。可以以22nm和更小的技术节点构造用于如本文描述的全衬底隔离FinFET晶体管的鳍156。例如鳍156的宽度可以在范围18-22nm内,鳍高度204在范围25-100nm内而优选范围50-75nm。在鳍156之间的空间208可以在与鳍的宽度相同的范围内,例如18-22nm。
对于22nm鳍而言,鳍的节距206(即从一个鳍156的中心到下一个鳍156的中心的距离)将一般在范围40-48nm内并且通常是鳍156的宽度的两倍,节距206也是从一个空间208的中心到下一个空间208的中心的距离。因此,对于18nm的鳍宽度,优选36nm的节距206,但是也可以使用在范围30-50nm内的节距。具有这些总体尺度和更小尺度的鳍156用于如现在将参照图3-14D说明的本发明的各种实施例。随着半导体工艺发展,尺度也可以改变以与可用技术匹配。例如鳍根据所需设计特性和可用几何形状可以宽度在范围8-20nm内并且具有在范围10-200nm内的高度。
图3是描述制作工艺300中的基本动作的高级流程图,该制作工艺用于被设计用于防止沟道到衬底泄漏和源极/漏极到衬底泄漏的全隔离FinFET器件。在302,使用侧壁图像转移(SIT)工艺来形成多层半传导鳍阵列。在304,在硅衬底中形成成对的沟槽并且向该对沟槽填充绝缘材料以电隔离半传导鳍与邻近区域。在306,形成牺牲栅极。在308,从半传导鳍的顶层向外横向生长外延层而被原位掺杂。在310,通过插入绝缘层将半传导鳍和原位掺杂层与衬底隔离。在312,用可操作栅极替换牺牲栅极。
图4是描述用于图3中描述的全隔离FinFET器件的更具体制作工艺400的低级流程图。在402,向硅衬底注入并且沉积掩盖层鳍堆叠。掩盖层包括外延生长的双层,即氮化硅和未掺杂硅酸盐玻璃(USG)。在404,通过图案化氮化物和USG膜来形成虚设芯棒结构。在406,虚设芯棒用来执行侧壁图像转移(SIT)工艺以创建半传导鳍阵列,然后去除芯棒。在408,用绝缘材料填充在半传导鳍之间的空间以提供局部化鳍间隔离。在410,蚀刻隔离沟槽并且向隔离沟槽填充绝缘氧化物。在412,在栅极区域中形成牺牲多晶硅栅极和偏移间隔物,而在414,在源极/漏极区域中从每个鳍的顶层各向同性地生长原位掺杂(ISD)外延层。在416,在栅极区域和源极/漏极区域二者中去除外延生长的双层的底层,从而创建空隙。在418,向空隙填充绝缘材料,诸如氧化物。在420,去除并且用可操作金属栅极替换牺牲多晶硅栅极。
以下参照图5A-14D,每组图通过呈现更全面工艺步骤序列和在完成该步骤序列时产生的对应侧视图来更具体示出来自图4的工艺步骤之一。
图5A和5B更具体图示步骤402,根据一个实施例,在该步骤中向硅衬底注入并且沉积掩盖层鳍堆叠。图5A示出包括步骤502、504、506、508、510和512的工艺步骤序列,可以执行这些步骤以形成图5B中所示掩盖层堆叠514。掩盖层堆叠514分别包括硅衬底516、掩盖外延生长半传导底层518(例如锗化硅(SiGe)或者另一外延硅化合物)、掩盖外延生长半传导顶层520(例如硅或者外延硅化合物)、掩盖氮化硅帽层522以及未掺杂的非晶硅酸盐玻璃(USG)的第一和第二掩盖牺牲层524和526。
在502,硅衬底可以接收阱注入物以分别根据制作的器件是否被设计为N-P-N或者P-N-P晶体管而变成用p型或者n型原子来掺杂。
在504,可以沉积或者生长焊盘氧化物层。在506,可以执行外延预清理步骤以制备硅衬底的用于外延晶体生长的表面。通常,外延预清理步骤使用湿法化学处理(诸如氢氟酸(HF))来去除所有表面氧化物(包括原生氧化物和在504沉积的焊盘氧化物层)。
在508,生长外延半传导底层518。半传导底层518可以由锗化硅制成,其中锗含量希望约为35%,并且厚度希望约为30nm。半传导底层518的锗含量可以范围从约20%到约60%。半传导底层518的厚度可以在范围约20nm-60nm内。此外,在508,通过从锗化硅半传导底层518的顶表面的外延晶体生长形成外延生长的半传导顶层520。半传导顶层520可以由硅或者锗化硅制成,具有在约25nm-50nm的范围内的厚度。
在510,可以用掩盖氮化硅帽层522对外延半传导顶层520进行加帽。可以沉积氮化硅帽层522以用作具有约40nm的厚度的硬掩模。在512,可以例如使用常规方法(诸如本领域通常用来沉积多晶硅的方法)来分别沉积第一和第二USG牺牲掩盖层524和526。第一USG牺牲掩盖层524希望具有在范围约20nm-40nm内的厚度。第二USG牺牲掩盖层526希望具有在范围约80nm-120nm内的厚度。第一和第二USG牺牲掩盖层可以基本上相同,或者它们可以例如在密度上或者在一个或者多个其它膜性质的改变上有所差别,这可以在后续处理步骤中针对两个USG膜产生不同蚀刻速率。
图6A和6B更具体图示步骤404,在该步骤中形成牺牲芯棒以支撑在非常规侧壁图像转移(SIT)工艺中用作掩模结构的侧壁间隔物。SIT工艺可以对于图案化窄和/或近间距结构尤其有用。图6A示出包括步骤602、604和606的工艺步骤序列,可以执行这些步骤以形成图6B中的牺牲(虚设)芯棒614(示出三个)。每个芯棒614是支撑成对的侧壁间隔物628的图案化的未掺杂的非晶硅酸盐玻璃(USG)结构。在SIT工艺中,将向多层鳍的宽度转移侧壁间隔物628的宽度而不是使用掩模以图案化鳍。所得多层鳍的宽度在一个示例实施例中希望在范围约3-15nm内。此外,芯棒宽度630确定在示例实施例中希望在范围约10-50nm内的鳍间间距。类似地,侧壁间隔物628和芯棒614的均匀性分别确定鳍阵列内的鳍和鳍间距的均匀性。
在602,可以使用常规光刻和蚀刻工艺来图案化未掺杂的非晶硅酸盐玻璃(USG)的掩盖牺牲层526以形成芯棒614。由于常规光刻为半导体处理领域技术人员所熟知,所以在图中未明示,但是将简述它。常规光刻需要在光刻胶上旋涂、通过图案化的掩模使光刻胶的部分暴露于紫外光并且显影掉光刻胶的未暴露部分,由此向光刻胶转移掩模图案。光刻胶掩模然后可以用来将图案蚀刻到一个或者多个下面的的层中。通常,如果后续蚀刻相对浅,则可以使用光刻胶掩模,这是因为可能在蚀刻工艺期间消耗光刻胶。可以使用这样的光刻胶掩模和对第一USG牺牲层有选择性的湿法蚀刻或者RIE化学剂来图案化第二USG牺牲层526。备选地,可以使用其中可接受部分消耗第一USG牺牲层524的定时蚀刻。
在604,可以使用常规沉积技术在USG结构526之上沉积保形氮化硅层(未示出)。
在606,可以执行掩盖(无掩模)湿法或者干法蚀刻以去除氮化物层的均匀厚度,因此形成成对的侧壁间隔物628。在这样的工艺中,可以使用芯棒614作为蚀刻停止层或者可以对蚀刻工艺进行定时。由于第一USG掩盖牺牲层524和芯棒614均为暂时的,所以可以可接受造成部分消耗这些层的非最优蚀刻选择性。根据使用的蚀刻工艺,侧壁间隔物628可以从芯棒614的顶表面略微或者显著倾斜掉(slope away)。
图7A和7B更具体图示步骤406,在该步骤中通过向掩盖层堆叠514转移侧壁间隔物628的覆盖区(图像)来图案化多层鳍阵列。图7A示出包括步骤702、704、706和708的工艺步骤序列,可以执行这些步骤以形成图7B中的多层鳍阵列714(示出六个)。根据一个实施例,每个多层鳍716包括图案化的外延锗化硅底层718、在图7中示出为由残留的图案化的氮化硅帽722覆盖的图案化的外延硅顶层720。
在702,在形成侧壁间隔物628之后,可以通过使用对氮化硅侧壁间隔物628高度地有选择性的湿法蚀刻或者干法蚀刻来蚀刻USG从而去除虚设芯棒614。用来去除芯棒614的蚀刻剂然后也将往往去除第一USG牺牲层524,除了它在侧壁间隔物628下面受保护之外。
在704,可以执行SIT工艺,在该工艺中在蚀刻下面的的层(524、522、520和518)的全堆叠时在示例实施例中使用剩余10nm以下的侧壁间隔物628作为硬掩模。在侧壁图像转移完成时,如图7B中所示从硅衬底516竖直地延伸的所得多层鳍716将具有与侧壁间隔物628的覆盖区近似地相同的宽度和均匀性。因此,已经向鳍转移侧壁间隔物的图像。
在706,在鳍形成之后,可以使用常规湿法蚀刻剂(诸如热磷酸或者分别对体硅衬底516以及外延硅底层和顶层718和720有选择性的另一蚀刻剂)来去除覆盖多层鳍716的剩余侧壁间隔物628。
在708,可以例如使用基于HF的化学剂来去除第一USG牺牲层524的作为残留USG帽层(未示出)保留于多层鳍716上面的部分,因此留下包括氮化硅帽722的多层鳍716。
图8A和8B更具体图示步骤408,在该步骤中可以在多层鳍716之间沉积绝缘材料以形成局部地隔离的多层鳍阵列814。图8A示出包括步骤802、804、806、808、810和812的工艺步骤序列,可以执行这些步骤以形成图8B中的局部地隔离的多层鳍阵列814(示出六个鳍)。根据一个实施例,多层鳍716由局部化的鳍间隔离填充材料816和原硅酸四乙酯(TEOS)衍生的氧化物层或者TEOS 818分离。
在802,可以向在多层鳍716之间的空间填充局部隔离填充材料816,例如氧化物。
在804,然后可以使用在氮化物帽722上停止的化学机械平坦化(CMP)工艺来平坦化填充的多鳍阵列。
在806,可以使用对下面的外延硅顶层720至少部分地有选择性的湿法化学蚀刻剂来去除氮化硅帽层722。
在808,可以使用对硅有选择性的蚀刻剂(诸如基于HF的湿化学蚀刻)来凹陷局部隔离填充材料816。凹陷的局部隔离填充材料816的最终厚度希望使得凹陷的局部隔离填充材料816的顶表面在位于外延锗化硅底层718内的点处与多层鳍716相交。
在810,可以在多鳍阵列814之上保形地沉积薄TEOS层818(例如少于约10nm厚)。TEOS层818将用作栅极电介质。
在812,可以沉积焊盘氮化物层820以便替换凹陷的填充材料816并且在多层鳍阵列814的高度上方延伸。可以使用焊盘氮化物层820作为硬掩模以形成隔离沟槽。
图9A和9B更具体图示步骤410,在该步骤中在多鳍阵列814的任一侧上形成绝缘沟槽以隔离多层鳍阵列914与邻近区域。图9A示出包括步骤902、904、906、908、910和912的工艺步骤序列,可以执行这些步骤以形成图9B中所示横向地隔离的鳍阵列914。
在902,可以如以上描述的那样使用常规光刻技术来图案化焊盘氮化物层820,以便覆盖多鳍阵列814并且暴露超出多鳍阵列814的末端以外的区域。
在904,然后可以在去除局部隔离填充材料816和硅的蚀刻工艺期间使用焊盘氮化物层820作为硬掩模以在硅衬底516中创建深沟槽。用来创建隔离沟槽的蚀刻工艺希望是各向异性等离子体蚀刻。
在906,可以向深隔离沟槽填充绝缘体。绝缘体可以例如是二氧化硅,诸如高纵横比工艺(HARPTM)填充材料916。可以使用在从Santa Clara,California的AppliedMaterials,Inc.可用的专门化的化学气相沉积(CVD)设备上执行的专有工艺来沉积这样的HARPTM填充材料916。
在908,可以使用在焊盘氮化物层920上停止的CMP工艺来平坦化HARPTM填充材料916。
在910,可以使用HF浸渍来凹陷HARPTM填充材料916,继而为在912的氮化物去除步骤(例如热磷酸湿法蚀刻)。在所得示例横向地隔离的鳍阵列914中,如图9B中所示,HARPTM填充材料916的高度在鳍的高度以下、但是在鳍内的两个外延硅层718和720的结上方。
图10A、10B和10C更具体图示步骤412,在该步骤中形成牺牲栅极和间隔物。图10D示出包括步骤1002、1004和1006的工艺步骤序列,可以执行这些步骤以在栅极区域中形成覆盖在横向地隔离的六个鳍的阵列914上面的牺牲栅极1018(图10A)。在图10C中示出所得FinFET阵列1014(示出仅两个鳍)的透视图。
在1002,牺牲栅极1018可以保形地沉积于横向地隔离的鳍阵列914之上,并且与鳍基本上正交地对准。牺牲栅极1018因此与每个多层鳍的三侧邻接。牺牲栅极1018可以根据用来形成常规平面晶体管栅极的技术例如由多晶硅制成。牺牲栅极1018是。在1004,可以沉积掩盖氮化硅硬掩模层1020。牺牲栅极1018和氮化硅硬掩模层1020仅形成于栅极区域中、未形成于源极/漏极区域中。这可以通过沉积掩模沉积材料来实现。或者膜可以掩盖沉积于栅极和源极/漏极区域二者之上,然后从源极和漏极区域被选择性地去除(图10B),使得牺牲栅极1018和氮化硅硬掩模层1020二者仅保留于栅极区域中(图10A)。
图10B因此基本上是图9B的再现。图10A是如图10C中呈现的透视图1014中所示沿着切割线A-A’在栅极区域中的FinFET阵列的侧视图。图10B是如图10C中呈现的透视图1014中所示沿着切割线B-B’在源极/漏极区域中的FinFET阵列的侧视图。在沿着A-A’形成保形栅极之前,图10B是在图9B中出现的相同结构914。
在1006,可以使用另一常规沉积和图案化(光刻和蚀刻)循环在牺牲栅极结构的任一侧上形成偏移间隔物。间隔物可以例如由氮化硅制成。然而,间隔物在图10C中出现,来自A-A’的截图和来自B-B’的截图均未与间隔物相交,因此它们未出现于图10A或者10B中所示侧视图中。
图11A、11B和11C更具体图示步骤414,在该步骤中从半传导顶层520外延地生长原位掺杂(ISD)层。图11D示出包括步骤1102、1104和1106的工艺步骤序列,可以执行这些步骤以在源极/漏极区域中形成掺杂的鳍阵列1112(图11B)。在图11C中示出掺杂的鳍阵列114的透视图。
在1102,可以使用N2H2气体来完成第一预清理。
在1104,可以完成与本领域已知为在形成硅化镍之前的预清理相似的第二预清理SiCoNi。预清理步骤1102和1104从硅表面去除原生氧化物、杂质等以允许外延晶体生长未受表面污染物阻碍地发生。
在1106,可以从多层鳍的图案化的外延硅顶层720向外外延地生长晶体硅以形成分面的原位掺杂(ISD)结构1108。可以通过在外延生长期间引入杂质(诸如硼或者磷)来实现原位掺杂。如果贯穿充分长的时间间隔维持外延生长,则从鳍的顶层延伸出的分面的ISD结构1108可以一起生长以形成与凹陷的氧化物816接触的外延层。
图12A、12B和12C更具体图示步骤416,在该步骤中去除传导鳍沟道的底层以在顶部半传导材料与衬底之间创建空隙。图12D示出包括步骤1202和1204的工艺步骤序列,可以执行这些步骤以形成栅极区域空隙1210(图12A)和源极/漏极区域空隙1212(图12B)。在图12C中图示在形成空隙之后的透视图1214。
在1202,可以执行另一SiCoNi预清理以有助于在后续步骤中的更有效的膜去除。
在1204,可以例如使用在盐酸溶液中的浸渍从在栅极区域和源极/漏极区域二者中的鳍去除外延底层718以创建栅极区域空隙1210和源极/漏极区域空隙1212。外延顶层720保持悬置于衬底上方,但是在垂直方向上(即沿着鳍)锚定到栅极结构。
在备选工艺流程中,图11B中所示分面的ISD结构1108的外延生长可以发生于形成栅极区域空隙1210和源极/漏极区域空隙1212之后。
图13A、13B和13C更具体图示步骤418,在该步骤中可以向栅极区域空隙1210和源极/漏极区域空隙1212填充氧化物以物理和电绝缘传导鳍沟道与衬底。图13C示出包括步骤1302、1304、1306和1308的工艺步骤序列,可以执行这些步骤以在栅极区域(图13A)中形成向源极/漏极区域(图13B)中的衬底隔离鳍沟道1312延伸的衬底隔离鳍沟道阵列1310。在图13C中示出全衬底隔离鳍沟道的透视图1310。
在1302,可以通过在栅极和源极/漏极区域二者中从硅衬底516的表面生长二氧化硅来填充栅极区域空隙1210和源极/漏极区域空隙1212。然后在源极/漏极区域中,可以生长或者沉积附加氧化物至略微在栅极上面的氮化硅间隔物和硬掩模的高度上方的高度。
在1304,可以执行常规退火工艺以在源极/漏极区域中的分面的ISD结构1108内扩散掺杂物。
在1306,可以例如使用其中氮化硅硬掩模层1020可以用作抛光停止层的常规CMP工艺来抛光氧化物。
在1308,可以通过使用各向异性(向下引向)等离子体蚀刻工艺从栅极去除氮化硅硬掩模1020而未去除氮化硅侧壁间隔物,继而为常规湿法化学清理步骤。
图14A、14B和14C更具体图示步骤420,在该步骤中用可操作栅极替换牺牲栅极。这样的工艺被本领域技术人员称为替换金属栅极(RMG)工艺。图14D示出包括步骤1402、1404、1406、1408和1410的工艺步骤序列420,可以执行这些步骤以在栅极区域中形成可操作栅极结构1412(图14A)。在图14C中示出可操作栅极结构1412的透视图1414。
在1402,可以例如使用对氮化硅和二氧化硅有选择性的侵蚀硅的湿化学蚀刻剂来去除牺牲多晶硅栅极。或者可以在相同工艺步骤中使用两部分(two-part)干法蚀刻工艺以去除多晶硅栅极和栅极电介质(1404)。
在1406,可以如图14B中所示回蚀源极/漏极区域中的氧化物1318至与外延顶层720重合的高度。
在1408,可以在栅极区域中的外延顶层720之上保形地沉积具有大于约4.0的高介电常数(k)的高k栅极电介质1418。
在1410,可以在栅极区域中并且也在源极/漏极区域中沉积可操作金属栅极1420作为去往隔离鳍的金属接触层(即外延顶层720)。与牺牲栅极1018相似,可操作金属栅极与每个多层鳍的三侧邻接,使得向栅极施加的电势可以从三个方向中的每个方向影响在鳍内流动的电流。
可以组合以上描述的各种实施例以提供更多实施例。在本说明书中引用的和/或在申请数据表中列举的所有美国专利、美国专利申请公开、美国专利申请、外国专利、外国专利申请和非专利公开通过引用而完全结合于此。可以如为了运用各种专利、申请和公开的概念而必需的那样修改实施例的方面以提供更多实施例。
将理解虽然本文出于示例的目的而描述本公开内容的具体实施例,但是可以进行各种修改而未脱离本公开内容的精神实质和范围。因而,本公开内容除了受所附权利要求限制之外不受限制。
可以按照以上详述的描述对实施例进行这些和其它改变。一般而言,在所附权利要求中,不应解释使用的术语使权利要求限于在说明书和权利要求中公开的具体实施例,但是应当解释这些术语包括所有可能实施例以及这样的权利要求有权具有的等效含义的完全范围。因而,权利要求未受公开内容限制。

Claims (38)

1.一种器件,包括:
衬底;
外延生长的鳍,所述鳍在所述衬底之上悬置并且与所述衬底间隔开;
绝缘层,在所述鳍和所述衬底之间;
在所述鳍上的掺杂层,所述掺杂层悬置在所述衬底之上并且由所述绝缘层与所述衬底间隔开,其中所述绝缘层完全包围所述掺杂层并且一体形成;以及
成对的深绝缘沟槽,与所述鳍基本上平行地对准,
其中所述成对的深绝缘沟槽被填充有绝缘体,所述绝缘体的顶表面被布置在所述鳍的上表面和底表面之间。
2.根据权利要求1所述的器件,还包括栅极,叠置在所述鳍的沟道区域之上,所述掺杂层被布置在所述鳍的源极区域和漏极区域上。
3.根据权利要求2所述的器件,所述栅极包括具有大于4.0的电介质常数的栅极电介质层,以及包括金属的体栅极材料。
4.根据权利要求1所述的器件,其中所述掺杂层邻接所述鳍的至少三个表面。
5.根据权利要求1所述的器件,其中所述掺杂层围绕所述鳍的一部分。
6.根据权利要求1所述的器件,其中所述绝缘层在所述掺杂层的侧部上。
7.根据权利要求1所述的器件,其中所述绝缘层的上表面与所述鳍的上表面共面。
8.根据权利要求7所述的器件,其中所述掺杂层的一部分从所述鳍的上表面延伸至在所述绝缘层的上表面上方的层面。
9.一种器件,包括:
衬底;
外延生长且悬置的鳍的阵列,所述鳍与所述衬底间隔开;
绝缘层,在所述鳍和所述衬底之间并且在所述鳍中相邻的鳍之间;以及
掺杂层,在所述鳍的源极区域/漏极区域处与所述鳍接触,所述掺杂层由所述绝缘层与所述衬底间隔开,其中所述绝缘层完全包围所述掺杂层并且一体形成;
栅极结构,在所述鳍的沟道区域上;以及
成对的深绝缘沟槽,与所述鳍基本上平行地对准,
其中所述成对的深绝缘沟槽被填充有绝缘体,所述绝缘体的顶表面被布置在所述鳍中的每个鳍的上表面和底表面之间。
10.根据权利要求9所述的器件,其中所述绝缘层完全围绕所述掺杂层的至少三个侧部。
11.根据权利要求9所述的器件,其中所述衬底包括多个突起
的区域,每个突起的区域与所述鳍中的一个鳍对准。
12.根据权利要求9所述的器件,其中所述鳍中的至少一个鳍结构在所述沟道区域的第一尺寸是22nm或更小。
13.根据权利要求9所述的器件,其中所述栅极结构包括叠置在所述鳍中的至少两个鳍之上的导电材料。
14.根据权利要求9所述的器件,其中所述掺杂层是在所述鳍的至少三个侧部上。
15.一种器件,包括:
衬底;
绝缘层;以及
多个晶体管,与所述衬底隔离开,每个所述晶体管包括:
外延生长且悬置的鳍;
在所述鳍上的掺杂层,所述掺杂层由所述绝缘层与所述衬底间隔开,其中所述绝缘层完全包围所述掺杂层并且一体形成:以及
成对的深绝缘沟槽,与所述鳍基本上平行地对准,
其中所述成对的深绝缘沟槽被填充有绝缘体,所述绝缘体的顶表面被布置在所述鳍的上表面和底表面之间。
16.根据权利要求15所述的器件,还包括叠置在所述鳍的沟道区域之上的保形栅极结构。
17.根据权利要求15所述的器件,其中所述绝缘层与所述悬置的鳍的至少三个侧部相邻。
18.根据权利要求15所述的器件,其中所述掺杂层在所述鳍的至少三个侧部上并且所述绝缘层在所述掺杂层的至少三个侧部上。
19.根据权利要求15所述的器件,其中相应鳍的所述掺杂层邻接所述鳍的至少三个表面。
20.一种器件,包括:
由第一半导体材料形成的FinFET晶体管的、外延生长且突起的鳍结构的阵列,所述鳍结构悬置在体硅晶片材料的半导体衬底之上,以及鳍结构沿所述鳍结构的先前蚀刻的底部表面通过至少一种绝缘材料与所述半导体衬底竖直分开;
掺杂外延层,在所述鳍结构的源极区域/漏极区域处与所述鳍结构接触,所述掺杂外延层悬置在所述半导体衬底之上并且由至少一种绝缘材料与所述半导体衬底竖直间隔开,其中所述绝缘材料完全包围所述掺杂外延层并且一体形成;
保形栅极电极,叠置在所述鳍结构的沟道区域之上,所述保形栅极电极与所述掺杂外延层相邻;以及
成对的深绝缘沟槽,与所述鳍基本上平行地对准,
其中所述成对的深绝缘沟槽被填充有绝缘体,所述绝缘体的顶表面被布置在所述鳍结构中的每个鳍结构的上表面和底表面之间。
21.根据权利要求20所述的器件,其中所述半导体材料包括外延生长的硅材料。
22.根据权利要求20所述的器件,其中所述栅极电极包括金属材料。
23.根据权利要求20所述的器件,其中至少一种绝缘材料包括氧化物材料。
24.一种器件,包括:
由第一半导体材料形成的FinFET晶体管的、外延生长且突起的鳍结构的阵列,所述鳍结构悬置在体硅晶片材料的半导体衬底之上,以及鳍结构沿所述鳍结构的先前蚀刻的底部表面通过至少一种绝缘材料与所述半导体衬底竖直分开;
掺杂外延层,在所述鳍结构的源极区域/漏极区域处与所述鳍结构接触,所述掺杂外延层悬置在所述半导体衬底之上并且由至少一种绝缘材料与所述半导体衬底竖直间隔开,其中所述绝缘材料完全包围所述掺杂外延层并且一体形成;
成对的深绝缘沟槽,与所述鳍基本上平行地对准,
其中所述成对的深绝缘沟槽被填充有绝缘体,所述绝缘体的顶表面被布置在所述鳍结构中的每个鳍结构的上表面和底表面之间;以及
保形栅极电极,叠置在所述鳍结构的沟道区域之上,所述保形栅极电极与所述掺杂外延层相邻;并且
其中所述保形栅极可操作为响应于施加的电压而控制在沟道区域内流动的电流。
25.根据权利要求24所述的器件,其中所述鳍结构中的至少一个鳍结构在所述沟道区域处的第一尺寸是22nm或更小。
26.一种器件,包括:
由第一半导体材料形成的、外延生长且突起的鳍结构的阵列,所述突起的鳍结构悬置在第二半导体材料的半导体衬底之上并且通过绝缘区域与所述半导体衬底竖直分开,所述绝缘区域与所述突起的鳍结构的底部表面直接接触,每个突起的鳍结构包括杂质掺杂的源极区域、杂质掺杂的漏极区域以及在所述杂质掺杂的源极区域和所述杂质掺杂的漏极区域之间延伸的沟道区域,其中所述杂质掺杂的源极区域和所述杂质掺杂的漏极区域包括掺杂的外延层,所述绝缘区域完全包围所述掺杂的外延层并且一体形成;
成对的深绝缘沟槽,与所述突起的鳍结构基本上平行地对准,
其中所述成对的深绝缘沟槽被填充有绝缘体,所述绝缘体的顶表面被布置在所述突起的鳍结构中的每个突起的鳍结构的上表面和底表面之间;以及
保形栅极电极,叠置在所述鳍结构的沟道区域之上,所述保形栅极电极与所述杂质掺杂的源极区域和所述杂质掺杂的漏极区域相邻。
27.根据权利要求26所述的器件,其中所述半导体衬底是体硅晶片。
28.根据权利要求26所述的器件,其中所述掺杂的外延层悬置在所述半导体衬底之上并且通过至少一个绝缘区域与所述半导体衬底竖直间隔开;以及
其中所述栅极电极与所述杂质掺杂的源极区域和所述杂质掺杂的漏极区域的掺杂的外延层相邻。
29.根据权利要求26所述的器件,其中所述第一半导体材料包括外延生长的硅材料。
30.根据权利要求26所述的器件,其中所述突起的鳍结构中的至少一个鳍结构在所述沟道区域的第一尺寸是22nm或更小。
31.根据权利要求26所述的器件,其中所述栅极电极包括金属材料。
32.根据权利要求26所述的器件,其中所述绝缘区域包括氧化物材料。
33.一种器件,包括:
由第一半导体材料形成的、外延生长且突起的鳍结构的阵列,所述突起的鳍结构悬置在第二半导体材料的半导体衬底之上并且通过绝缘区域与所述半导体衬底竖直分开,所述绝缘区域与所述突起的鳍结构的底部表面直接接触,每个突起的鳍结构包括杂质掺杂的源极区域、杂质掺杂的漏极区域以及在所述杂质掺杂的源极区域和所述杂质掺杂的漏极区域之间延伸的沟道区域,其中所述杂质掺杂的源极区域和所述杂质掺杂的漏极区域包括掺杂的外延层,所述绝缘区域完全包围所述掺杂的外延层并且一体形成;
成对的深绝缘沟槽,与所述鳍基本上平行地对准,
其中所述成对的深绝缘沟槽被填充有绝缘体,所述绝缘体的顶表面被布置在所述突起的鳍结构中的每个突起的鳍结构的上表面和底表面之间;以及
保形栅极电极,叠置在所述鳍结构的沟道区域之上,所述保形栅极电极与所述杂质掺杂的源极区域和所述杂质掺杂的漏极区域相邻;并且
其中所述保形栅极可操作为响应于施加的电压而控制在沟道区域内流动的电流。
34.根据权利要求33所述的器件,其中所述第二半导体材料包括硅材料。
35.一种器件,包括:
衬底,
外延生长且悬置的鳍的阵列,所述鳍与所述衬底间隔开;
绝缘材料,在所述鳍和所述衬底之间以及在所述鳍中的相邻鳍之间;
掺杂层,在所述鳍的源极/漏极区域处与所述鳍接触,所述绝缘材料将所述掺杂层与所述衬底间隔开,所述绝缘材料完全包围所述掺杂层并且一体形成;
成对的深绝缘沟槽,与所述鳍基本上平行地对准,
其中所述成对的深绝缘沟槽被填充有绝缘体,所述绝缘体的顶表面被布置在所述鳍中的每个鳍的上表面和底表面之间;以及
在所述鳍的沟道区域上的栅极结构。
36.根据权利要求35所述的器件,其中所述掺杂层邻接所述鳍中的每个鳍的至少三个表面。
37.一种器件,包括:
衬底,包括多个突起区域;
外延生长且悬置的鳍的阵列,所述鳍与所述衬底间隔开,所述突起区域中的每个突起区域与所述鳍中的每个鳍对准;
绝缘材料,在所述鳍和所述衬底之间以及在所述鳍中的相邻鳍之间;
掺杂层,在所述鳍的源极/漏极区域处与所述鳍接触,所述绝缘材料将所述掺杂层与所述衬底间隔开,其中所述绝缘材料完全包围所述掺杂层并且一体形成;
成对的深绝缘沟槽,与所述鳍基本上平行地对准,
其中所述成对的深绝缘沟槽被填充有绝缘体,所述绝缘体的顶表面被布置在所述鳍中的每个鳍的上表面和底表面之间;以及
在所述鳍的沟道区域上的栅极结构。
38.根据权利要求37所述的器件,其中所述鳍中的每个鳍包括面对所述衬底的第一表面,所述鳍中的每个鳍的第一表面位于所述衬底和所述绝缘材料的表面之间。
CN201710419750.6A 2012-12-21 2013-10-12 全衬底隔离finfet晶体管 Active CN107256844B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/725,528 US8956942B2 (en) 2012-12-21 2012-12-21 Method of forming a fully substrate-isolated FinFET transistor
US13/725,528 2012-12-21
CN201310489429.7A CN103887172B (zh) 2012-12-21 2013-10-12 全衬底隔离finfet晶体管

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201310489429.7A Division CN103887172B (zh) 2012-12-21 2013-10-12 全衬底隔离finfet晶体管

Publications (2)

Publication Number Publication Date
CN107256844A CN107256844A (zh) 2017-10-17
CN107256844B true CN107256844B (zh) 2021-06-25

Family

ID=50956010

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201320643522.4U Expired - Lifetime CN203760482U (zh) 2012-12-21 2013-10-12 在硅衬底上的全隔离FinFET晶体管及电隔离晶体管
CN201710419750.6A Active CN107256844B (zh) 2012-12-21 2013-10-12 全衬底隔离finfet晶体管
CN201310489429.7A Active CN103887172B (zh) 2012-12-21 2013-10-12 全衬底隔离finfet晶体管

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201320643522.4U Expired - Lifetime CN203760482U (zh) 2012-12-21 2013-10-12 在硅衬底上的全隔离FinFET晶体管及电隔离晶体管

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201310489429.7A Active CN103887172B (zh) 2012-12-21 2013-10-12 全衬底隔离finfet晶体管

Country Status (2)

Country Link
US (4) US8956942B2 (zh)
CN (3) CN203760482U (zh)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8956942B2 (en) * 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor
US9000522B2 (en) * 2013-01-09 2015-04-07 International Business Machines Corporation FinFET with dielectric isolation by silicon-on-nothing and method of fabrication
US9147682B2 (en) 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
JP6251604B2 (ja) * 2013-03-11 2017-12-20 ルネサスエレクトロニクス株式会社 フィンfet構造を有する半導体装置及びその製造方法
US9263287B2 (en) * 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US8952420B1 (en) 2013-07-29 2015-02-10 Stmicroelectronics, Inc. Method to induce strain in 3-D microfabricated structures
US9166023B2 (en) * 2013-08-09 2015-10-20 Stmicroelectronics, Inc. Bulk finFET semiconductor-on-nothing integration
US9472651B2 (en) * 2013-09-04 2016-10-18 Globalfoundries Inc. Spacerless fin device with reduced parasitic resistance and capacitance and method to fabricate same
US9099559B2 (en) 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
US9121890B2 (en) * 2013-10-30 2015-09-01 Globalfoundries Inc. Planar metrology pad adjacent a set of fins of a fin field effect transistor device
US20150221726A1 (en) * 2014-02-04 2015-08-06 Globalfoundries Inc. Finfet with isolated source and drain
CN104835738B (zh) * 2014-02-08 2018-08-21 中芯国际集成电路制造(北京)有限公司 一种形成FinFET器件的鳍片的方法
US9240329B2 (en) * 2014-02-23 2016-01-19 Tokyo Electron Limited Method for multiplying pattern density by crossing multiple patterned layers
JP6241318B2 (ja) * 2014-02-28 2017-12-06 富士通株式会社 グラフェン膜の製造方法及び半導体装置の製造方法
US9450079B2 (en) * 2014-04-09 2016-09-20 International Business Machines Corporation FinFET having highly doped source and drain regions
EP3032575B1 (en) * 2014-12-08 2020-10-21 IMEC vzw Method for forming an electrical contact.
US9660059B2 (en) 2014-12-12 2017-05-23 International Business Machines Corporation Fin replacement in a field-effect transistor
US9859423B2 (en) 2014-12-31 2018-01-02 Stmicroelectronics, Inc. Hetero-channel FinFET
US10032912B2 (en) 2014-12-31 2018-07-24 Stmicroelectronics, Inc. Semiconductor integrated structure having an epitaxial SiGe layer extending from silicon-containing regions formed between segments of oxide regions
US10950722B2 (en) 2014-12-31 2021-03-16 Stmicroelectronics, Inc. Vertical gate all-around transistor
US9761699B2 (en) 2015-01-28 2017-09-12 International Business Machines Corporation Integration of strained silicon germanium PFET device and silicon NFET device for finFET structures
US9985112B2 (en) * 2015-02-06 2018-05-29 International Business Machines Corporation Sloped finFET with methods of forming same
US9847333B2 (en) * 2015-03-09 2017-12-19 Globalfoundries Inc. Reducing risk of punch-through in FinFET semiconductor structure
KR102318393B1 (ko) 2015-03-27 2021-10-28 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9385195B1 (en) 2015-03-31 2016-07-05 Stmicroelectronics, Inc. Vertical gate-all-around TFET
US10084080B2 (en) 2015-03-31 2018-09-25 Stmicroelectronics, Inc. Vertical tunneling FinFET
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US10903210B2 (en) * 2015-05-05 2021-01-26 International Business Machines Corporation Sub-fin doped bulk fin field effect transistor (FinFET), Integrated Circuit (IC) and method of manufacture
US9356027B1 (en) 2015-05-11 2016-05-31 International Business Machines Corporation Dual work function integration for stacked FinFET
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
US9935178B2 (en) 2015-06-11 2018-04-03 International Business Machines Corporation Self-aligned channel-only semiconductor-on-insulator field effect transistor
US9799776B2 (en) 2015-06-15 2017-10-24 Stmicroelectronics, Inc. Semi-floating gate FET
US9318392B1 (en) 2015-06-18 2016-04-19 International Business Machines Corporation Method to form SOI fins on a bulk substrate with suspended anchoring
KR102358303B1 (ko) * 2015-06-26 2022-02-07 인텔 코포레이션 국한된 서브-핀 격리를 가지는 높은 전자 이동도 트랜지스터들
US9349868B1 (en) 2015-06-26 2016-05-24 International Business Machines Corporation Gate all-around FinFET device and a method of manufacturing same
US9548361B1 (en) * 2015-06-30 2017-01-17 Stmicroelectronics, Inc. Method of using a sacrificial gate structure to make a metal gate FinFET transistor
US9679899B2 (en) 2015-08-24 2017-06-13 Stmicroelectronics, Inc. Co-integration of tensile silicon and compressive silicon germanium
CN106486378B (zh) * 2015-09-02 2019-07-02 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US9553088B1 (en) * 2015-09-24 2017-01-24 International Business Machines Corporation Forming semiconductor device with close ground rules
CN107924831B (zh) * 2015-09-24 2023-10-10 英特尔公司 用于显露集成电路器件的背侧和相关配置的技术
CN106601677B (zh) * 2015-10-14 2019-09-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
CN105405890B (zh) * 2015-11-05 2018-07-20 中国科学院微电子研究所 包括带电荷体侧墙的半导体器件及其制造方法
US10084090B2 (en) 2015-11-09 2018-09-25 International Business Machines Corporation Method and structure of stacked FinFET
CN105609544B (zh) 2015-12-22 2019-05-03 杭州士兰微电子股份有限公司 绝缘隔离半导体器件及其制造方法
US9601514B1 (en) 2016-01-26 2017-03-21 International Business Machines Corporation Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
US9953874B2 (en) * 2016-04-28 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10083871B2 (en) * 2016-06-09 2018-09-25 International Business Machines Corporation Fabrication of a vertical transistor with self-aligned bottom source/drain
US9679780B1 (en) * 2016-09-28 2017-06-13 International Business Machines Corporation Polysilicon residue removal in nanosheet MOSFETs
FR3057393A1 (fr) * 2016-10-11 2018-04-13 Stmicroelectronics (Rousset) Sas Circuit integre avec condensateur de decouplage dans une structure de type triple caisson
US10340340B2 (en) * 2016-10-20 2019-07-02 International Business Machines Corporation Multiple-threshold nanosheet transistors
CN109952654B (zh) 2016-11-14 2023-05-05 东京毅力科创株式会社 在纳米线和纳米板处理中防止块体硅电荷转移的方法
CN106449641B (zh) * 2016-11-15 2019-04-12 中国科学院微电子研究所 具有连续侧墙的半导体设置及其制造方法
TWI604569B (zh) * 2016-11-15 2017-11-01 新唐科技股份有限公司 半導體裝置及其形成方法
US10453943B2 (en) * 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US10510762B2 (en) * 2016-12-15 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain formation technique for fin-like field effect transistor
WO2018118007A1 (en) * 2016-12-19 2018-06-28 Intel Corporation Condensation for strain control
US10199503B2 (en) 2017-04-24 2019-02-05 International Business Machines Corporation Under-channel gate transistors
US10147787B1 (en) 2017-05-31 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10367086B2 (en) * 2017-06-14 2019-07-30 Hrl Laboratories, Llc Lateral fin static induction transistor
US10134901B1 (en) 2017-06-26 2018-11-20 Globalfoundries Inc. Methods of forming a bulk field effect transistor (FET) with sub-source/drain isolation layers and the resulting structures
US10256152B2 (en) * 2017-07-24 2019-04-09 Globalfoundries Inc. Methods of making FinFET device comprising a piezoelectric liner for generating a surface charge
US10535550B2 (en) * 2017-08-28 2020-01-14 International Business Machines Corporation Protection of low temperature isolation fill
US10629679B2 (en) * 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10714394B2 (en) * 2017-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fin isolation structures of semiconductor devices
US10867866B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10680084B2 (en) 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
CN110299286B (zh) * 2018-03-21 2022-06-03 联华电子股份有限公司 外延鳍状结构的制作方法
CN109103091B (zh) * 2018-07-11 2021-11-09 西安电子科技大学 Ga2O3基MOSFET器件的外延层转移方法
US10903080B2 (en) 2018-08-21 2021-01-26 Nanya Technology Corporation Transistor device and method for preparing the same
US10903315B2 (en) 2018-09-28 2021-01-26 International Business Machines Corporation Formation of dielectric layer as etch-stop for source and drain epitaxy disconnection
US10741639B2 (en) 2018-09-28 2020-08-11 International Business Machines Corporation Formation of dielectric layer as etch-stop for source and drain epitaxy disconnection
US10971622B2 (en) * 2018-10-05 2021-04-06 Avago Technologies International Sales Pte. Limited Transistor structures
CN112074930A (zh) * 2018-10-31 2020-12-11 华为技术有限公司 集成电路器件及其制备方法
US10985279B2 (en) 2019-03-13 2021-04-20 International Business Machines Corporation Source and drain epitaxy and isolation for gate structures
US10937860B2 (en) 2019-03-14 2021-03-02 International Business Machines Corporation Nanosheet transistor bottom isolation
US11373870B2 (en) 2019-06-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device including performing thermal treatment on germanium layer
CN111029406A (zh) * 2019-11-14 2020-04-17 中国科学院微电子研究所 一种半导体器件及其制备方法
CN111312818A (zh) * 2019-11-14 2020-06-19 中国科学院微电子研究所 一种半导体器件的制备方法
CN114267638B (zh) * 2021-06-02 2024-01-26 青岛昇瑞光电科技有限公司 一种鳍及鳍式场效应晶体管的制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1507057A (zh) * 2002-12-06 2004-06-23 ̨������·����ɷ����޹�˾ 多重栅极结构及其制造方法
CN101924133A (zh) * 2009-04-14 2010-12-22 台湾积体电路制造股份有限公司 鳍式fet及其形成方法
CN102194756A (zh) * 2010-03-17 2011-09-21 台湾积体电路制造股份有限公司 鳍式场效晶体管及其制法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
KR100553683B1 (ko) 2003-05-02 2006-02-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6924178B2 (en) * 2003-12-08 2005-08-02 International Business Machines Corporation Oxide/nitride stacked in FinFET spacer process
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7101763B1 (en) 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7777275B2 (en) 2006-05-18 2010-08-17 Macronix International Co., Ltd. Silicon-on-insulator structures
US7498265B2 (en) * 2006-10-04 2009-03-03 Micron Technology, Inc. Epitaxial silicon growth
JP4406439B2 (ja) 2007-03-29 2010-01-27 株式会社東芝 半導体装置の製造方法
US7879659B2 (en) * 2007-07-17 2011-02-01 Micron Technology, Inc. Methods of fabricating semiconductor devices including dual fin structures
US20090020792A1 (en) 2007-07-18 2009-01-22 Rafael Rios Isolated tri-gate transistor fabricated on bulk substrate
US7833889B2 (en) 2008-03-14 2010-11-16 Intel Corporation Apparatus and methods for improving multi-gate device performance
US7994020B2 (en) 2008-07-21 2011-08-09 Advanced Micro Devices, Inc. Method of forming finned semiconductor devices with trench isolation
US8120073B2 (en) 2008-12-31 2012-02-21 Intel Corporation Trigate transistor having extended metal gate electrode
CN102034863B (zh) * 2009-09-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 半导体器件、含包围圆柱形沟道的栅的晶体管及制造方法
CN102117829B (zh) 2009-12-30 2012-11-21 中国科学院微电子研究所 鳍式晶体管结构及其制作方法
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
DE102010029527B4 (de) 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8361869B2 (en) * 2010-12-08 2013-01-29 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing suspended fin and gate-all-around field effect transistor
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8441072B2 (en) * 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
US8956942B2 (en) * 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1507057A (zh) * 2002-12-06 2004-06-23 ̨������·����ɷ����޹�˾ 多重栅极结构及其制造方法
CN101924133A (zh) * 2009-04-14 2010-12-22 台湾积体电路制造股份有限公司 鳍式fet及其形成方法
CN102194756A (zh) * 2010-03-17 2011-09-21 台湾积体电路制造股份有限公司 鳍式场效晶体管及其制法

Also Published As

Publication number Publication date
US20180145133A1 (en) 2018-05-24
CN103887172B (zh) 2017-09-12
US10170546B2 (en) 2019-01-01
CN203760482U (zh) 2014-08-06
CN107256844A (zh) 2017-10-17
US8956942B2 (en) 2015-02-17
US9893147B2 (en) 2018-02-13
US20150108585A1 (en) 2015-04-23
US20140175554A1 (en) 2014-06-26
CN103887172A (zh) 2014-06-25
US9520393B2 (en) 2016-12-13
US20170053981A1 (en) 2017-02-23

Similar Documents

Publication Publication Date Title
CN107256844B (zh) 全衬底隔离finfet晶体管
US9748352B2 (en) Multi-channel gate-all-around FET
US8759874B1 (en) FinFET device with isolated channel
US10325995B2 (en) Field effect transistor air-gap spacers with an etch-stop layer
US9484348B2 (en) Structure and method to increase contact area in unmerged EPI integration for CMOS FinFETs
US10903369B2 (en) Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
US10943837B2 (en) Device having overlapping semiconductor fins oriented in different directions
EP3076433B1 (en) Dual width finfet
US10957799B2 (en) Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
US11575003B2 (en) Creation of stress in the channel of a nanosheet transistor
US11749744B2 (en) Fin structure for vertical transport field effect transistor
US11201093B2 (en) Method of manufacturing a semiconductor device including the horizontal channel FET and the vertical channel FET

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant