CN107078066A - 具有未封装的半导体装置的电路组合件的制造 - Google Patents

具有未封装的半导体装置的电路组合件的制造 Download PDF

Info

Publication number
CN107078066A
CN107078066A CN201580037905.9A CN201580037905A CN107078066A CN 107078066 A CN107078066 A CN 107078066A CN 201580037905 A CN201580037905 A CN 201580037905A CN 107078066 A CN107078066 A CN 107078066A
Authority
CN
China
Prior art keywords
institute
circuit pack
semiconductor device
circuit
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201580037905.9A
Other languages
English (en)
Inventor
安德鲁·胡斯卡
科迪·彼得森
凯西·克里斯蒂
克林特·亚当斯
奥林·奥扎厄斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohinni LLC
Original Assignee
Rohinni LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohinni LLC filed Critical Rohinni LLC
Publication of CN107078066A publication Critical patent/CN107078066A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • H01L24/76Apparatus for connecting with build-up interconnects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Led Device Packages (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本文描述了与并入了电路和半导体装置的电子产品(例如,移动装置、计算机等)的高效和有效制造相关的技术。如本文所描述,新的制造方法在制造期间将未封装的半导体装置(即,裸片)并入到电子产品的电路中。这通过使用直接载体到电路裸片的传递方法来完成。另外,所述裸片和/或其相关电路就地加以封装(即,“原位封装”)。提交此摘要,应理解,其不应用于解释或限制权利要求书的范围或含义。

Description

具有未封装的半导体装置的电路组合件的制造
背景
半导体装置是利用半导体材料(例如,硅、锗、砷化镓等)的电组件。半导体装置通常制造为单个离散装置或集成电路(IC)。单个离散装置的实例包括发光二极管(LED)、二极管、晶体管、电阻器、电容器、保险丝等。
半导体装置的制造通常涉及具有大量步骤的复杂制造过程。制造的最终产品是已封装半导体装置。“封装”修饰语是指构建到最终产品中的罩壳和保护特征以及使封装的装置能够并入到最终电路中的接口。
半导体装置的常规制造过程开始于半导体晶片。晶体被切成大量未封装的半导体装置。未封装的半导体装置在本文中可以称为半导体装置裸片。实际上,晶片处理与封装之间的动作可以称为“裸片制备”。在此制备之后,常规制造过程封装裸片中的每一者。
通常,封装涉及将裸片安装到塑料或陶瓷封装(例如,模具或罩壳)中。封装还包括将裸片触点连接到用于与最终电路介接/互连的引脚/电线。通常通过密封裸片来保护所述裸片免受环境(例如,灰尘、温度和/或湿度)影响来完成半导体装置的封装。
产品制造商在其产品的电路中包括封装半导体装置。由于装置封装,所述装置准备好“连线”至正制造的产品的电路组合件中。由于装置封装,所述装置免受可能使所述装置劣化或破坏所述装置的要素的影响。另外,由于装置封装,所述装置本质上大于(例如,通常大约厚度大10倍以及面积大10倍,导致体积大100倍)存在于封装内部的裸片。因此,所得电路组合件不能比半导体装置的封装薄。
对图式的简要描述
图1说明常规裸片到最终产品的元制造100。
图2说明根据本文所描述的技术和技艺的新颖裸片到最终产品的元制造技术的实现方式200的实例。
图4A和4B说明根据本文所描述的技术和技艺的电路生产方法的实现方式的实例。
图5说明根据本文所描述的技术和技艺的直接载体到电路的传递技术的实现方式的实例。
图6A至6D说明根据本文所描述的技术和技艺的原位封装技术的实现方式的实例的横截面。
图7和8是根据本文所描述的技术和技艺的实例过程的流程图。
详细描述参考附图。在附图中,参考标号的最左边数字表示参考标号首先出现的附图。在全部附图中,相同的附图标记用于指代相同的特征和组件。
详细描述
本文公开用于并入电路与半导体装置的电子产品(例如,移动装置、计算机等)的高效和有效制造的技术和技艺。新方法将两个不同的制造过程合并成单个制造过程。常规上,半导体装置制造商生产、销售和分配已封装装置。产品制造商购买已封装装置并且随后将此类装置并入到其电子产品中。
相比而言,通过新方法,产品制造商将未封装的半导体装置(即,裸片)并入到其产品的电路中。通过新方法,产品制造商可以将裸片及其相关电路就地封装(本文称为“原位封装”)。
典型的裸片到最终产品的元制造
图1说明常规的裸片到最终产品的元制造100。元制造通常包括三个部分:半导体装置封装制造110、装运/储存150和产品制造160。
半导体装置封装制造110包括裸片制造120、裸片分拣130和裸片封装140。
在裸片制造120开始之前,晶片制造商供应半导体晶片。从硅锭或其他半导体材料中切出典型晶片。每个晶片包括许多(例如,数百、数千或数百万)半导体装置。半导体装置通常制造为单个离散装置或集成电路(IC)。单个离散装置的实例包括发光二极管(LED)、二极管、晶体管、电阻器、电容器、保险丝等。出于说明的目的,LED是本文所论述的半导体装置的具体实例。更具体来说,LED是本文所论述的单个离散半导体装置的具体实例。
裸片制造120包括晶片安装121、晶片蚀刻122、裸片测试123、晶片划片124和晶片拉伸125。裸片制造有时称为裸片制备。本领域技术人员将常规裸片制备视为半导体装置制造中的步骤,其中制备晶片用于封装和/或测试。
在晶片安装121期间,晶片安装在本身附接到环的可拉伸低粘性胶带上。此胶带通常称为“划片胶带”或更普遍称为“蓝色胶带”,因为所述胶带传统上具有蓝色色调。由于划片胶带固持或载运晶片(且最终固持或载运裸片),所以通常一般称为载带或更简单称为载体。
划片胶带通常由柔性和可拉伸材料(例如,聚氯乙烯(PVC))制成并且具有结合在一侧上的粘合剂(例如,丙烯酸或合成丙烯酸)。划片胶带通常具有高撕裂强度、是柔性的且是可拉伸的。通常,划片胶带的主要用途之一是在晶片划片124成单独裸片期间确保个别裸片牢牢地保持在适当位置。
在安装晶片之后,对晶片进行蚀刻122和划片124。晶片蚀刻还可以称为刻痕。晶片划片可以称为半导体裸片划片。蚀刻/划片的组合有时称为裸片单个化。
在裸片单个化(例如,蚀刻122和划片124)期间,将晶片切割成多个形状,所述形状通常为矩形。这些矩形小块各自称为裸片。在晶片的电路的那些功能部分之间,预见薄的非功能性间隔,其中锯子(或类似物)可以安全地切割晶片,而不损坏晶片中的半导体装置的电路。通常使用具有金刚钻头齿的水冷圆锯执行划片。
在测试123期间,每个半导体装置经受各种测试。通过此测试来确定和追踪每个装置的各种特性。也就是说,创建晶片的装置的数据库或图,所述数据库或图记录每个装置的所确定特性。这在本文中可以称为“装置图”、“裸片数据库”或类似者。如图1中所描绘,测试123被示为在蚀刻122与划片124之间发生。在其他实例中,测试可以在裸片制造120期间的其他点处发生。
通常,测试123涉及通过电子测试器测试晶片上的裸片,所述电子测试器将微探针按压在裸片上。测试通常涉及确定裸片的电路的电气功能性。例如,当测试LED裸片时,追踪其明度特性。此明度特性可以包括亮度、颜色和类似者。
在裸片单个化之后,通常拉伸125晶片。这还称为晶片扩展。将在上面粘附晶片的划片胶带径向向外拉伸以便增加晶片的现在物理分离的单独裸片之间的间隔。这样做的典型原因是防止在装运期间或在常规拾放操作期间损坏裸片边缘。
在许多实例中,在裸片制造之后接下来进行裸片分拣130。这可以称为裸片分组。裸片分拣的目的是将类似裸片一起收集在“仓”中。基于在测试123期间确定的裸片的特性将裸片分拣。
裸片分拣130开始于经过拉伸的晶片125,所述经过拉伸的晶片是裸片制造120的结果。拾放机器132从经过拉伸的晶片拾取个别裸片。如所描绘,经过拉伸的晶片133是同一经过拉伸的晶片125的侧面正视图。在已移除一个裸片之后,经过拉伸的晶片134示出同一晶片133。拾放机器132将裸片放置到一个或多个“仓”135(或分组载体)中。所述仓通常是划片胶带上的裸片矩阵。每个仓基于一个或多个特性而含有类似或相似裸片。
拾放机器132是通常由半导体装置(例如,LED)的制造者用于将装置从一个位置传递到另一位置的机器种类。具体来说,此类机器用于将单个离散装置(例如,LED)从一个载带拾取到另一载带。如所示,通过裸片分拣130,拾放机器132从原始载体133/134拾取裸片并且放置到多个分组载体135中的一者上。
封装140阶段开始于类似裸片的分组载体(示为载体141)中的一者。拾放机器142从载体143的侧面正视图被示为拾取裸片并且将裸片放置到封装模具144中。这通常称为安装。封装模具144通常由塑料或陶瓷制成。在145处,添加电线以便将裸片的电触点连接到封装的外部触点。在146处,用环保密封剂填充模具。通常,如在147处示出,将裸片封帽。如果裸片是LED,则此帽盖通常是用于聚集和引导光的透镜。
Wikipedia.com以此方式描述半导体封装:
半导体封装可以仅具有装置的两个引线或触点,例如二极管,或在先进的微处理器的情况下,封装可以具有数百个连接。非常小的封装可以仅由所述封装的引线支撑。///
除了提供到半导体的连接以及处理废热之外,半导体封装必须保护“芯片”免受环境(尤其湿气侵入)影响。封装内部的杂散粒子或腐蚀产物可能会降低装置的性能或引起故障。密封封装基本上不允许与周围的气体交换;此种结构需要玻璃、陶瓷或金属外壳。
如通过已封装装置147表示,已封装半导体装置(例如,LED)是可出售产品,其为半导体装置封装制造110的结果。
为了易于分配给产品制造商并且为了易于由同一制造商放置到电路中,装置封装器通常将已封装装置共同地封装。例如,在封装每个装置之后,封装器将多个已封装装置收集在一起并且将所述已封装装置放置到通常称为“卷”的分配封装中。例如,每个已封装装置被放置到粘胶带条上和/或泡沫/吸塑密封条中。
装运/储存150部分包括仓库152以及到/从所述仓库的装运154、156。通常,由商业企业,尤其是装置/产品制造商购买已封装装置。在此购买之前,储存已封装装置。装运/储存150部分表示其中在等待订单时已封装装置的制造商将其商品装运152到仓库154以便进行储存的典型情形或作为分配系统的一部分。例如,将购买的已封装装置从仓库154交付156给产品制造商170。有时装运/交付(152/156)可以跨越国家或海洋。这样会增加总体裸片到最终产品的元制造100的时间和成本。
产品制造160部分包括产品制造商170本身、将进入电子产品中的电路的构造180以及制造出的产品190。
产品制造商170是生产和/或出售电子装置或产品或其一些部分的公司。例如,产品制造商170可以是原始设备制造商(OEM)。OEM是制造在另一公司的最终产品中使用的部分或子系统的公司。无论如何,构建的最终产品具有其中包括的一些电子电路。
在180处,构造电子电路。此构造通常包括,例如,将电子组件(例如,晶体管、二极管、IC、电池、电阻器、电容器和类似者)放置于印刷电路板(PCB)上并且使用电线或其他导电轨道电连接此类组件。实际上,PCB通常具有导电层和非导电层。PCB为电子组件及其导电链接提供机械支撑和绝缘。
已封装装置(例如,装置147)是在180处用于电子电路的构造中的电子组件类型的实例。由于已封装装置已受保护而免受环境要素影响,因此所构造的电路不一定需要环境保护。
完整电路中的一者或多者与其他机械和功能部分组装在一起以便形成中间子系统或最终产品本身。无论如何,在总体产品制造过程中的某一点处,最终产品最后进行组装并且准备分配给客户和/或零售商店。本文中的最终产品可以简称为“产品”。最终产品可以几乎为其中具有电子电路的任何装置。此类最终产品的实例包括移动电话、游戏控制器、数字音乐播放器、数码相机、玩具、视频游戏控制台、计算机输入装置、医疗装置、电视机、计算机、电器、汽车、电子书阅读器等。
裸片到最终产品的元制造技术的实例
图2说明如本文中所描述的新颖裸片到最终产品的元制造技术的实现方式200的实例。自此以后,此实例实现方式称为“元制造技术200”。为了展示常规过程与本文所描述的新方法之间的一些差异,此实现方式200的描述将与图1中所示的以及上文所描述的常规裸片到最终产品的元制造过程100对比。所演示的差异将突出本文所描述的新方法的一些效率和功效。
如所描绘,元制造200包括裸片制造220、将进入电子产品中的电路组合件的构造280以及制造出的产品290。元制造200的动作/部分由产品制造商270执行并且可能在单个车间/工厂/位置处执行。
通过本文所描述的技术和技艺,常规过程100的许多步骤和复杂化不是必需的并且因此被去除。简言之,未封装的半导体装置从其载体直接传递到电路组合件,所述未封装的半导体装置稍后将放置到最终产品中。在电路组合件构造期间,未封装的半导体装置有效地进行原位(即,就地)封装。
通过元制造技术200,裸片制造220和产品制造可以在同一位置发生,并且实际上作为同一制造过程的一部分。因此,元制造技术200去除常规过程100的装运/储存/分配部分。
通过与图1中所示的以及上文所描述的常规裸片到最终产品的元制造过程100的裸片制造120类似并一致的方式进行元制造200的裸片制造220。因此,用于裸片制造的现有设备和供料可以用于元制造200的裸片制造220。
裸片制造220包括晶片安装221、晶片蚀刻222、裸片测试223、晶片划片224和晶片拉伸225。在晶片安装221期间,晶片安装在例如划片胶带等载体上。
在安装晶片之后,通过蚀刻222和划片224单个化晶片。在裸片单个化期间,晶片被划片成裸片。在测试223期间,测试半导体装置并且创建“装置图”或“裸片数据库”。在裸片单个化之后,通常拉伸225晶片。
在280处,构造电路组合件。此后描述如何实现此构造的实现方式的细节。电路组合件构造280部分包括从其载体到电路组合件的直接传递,所述电路组合件构造稍后将放置到最终产品中。在电路组合件构造280期间,未封装的半导体装置有效地进行原位(即,就地)封装。
完整电路组合件中的一者或多者与其他机械和功能部分组装在一起以便形成中间子系统或最终产品本身。无论如何,在总体产品制造过程中的某一点处,最终产品最后进行组装并且准备分配给客户和/或零售商店。最终产品可以几乎为其中具有电子电路的任何装置。此类最终产品的实例包括移动电话、游戏控制器、数字音乐播放器、数码相机、玩具、视频游戏控制台、计算机输入装置、医疗装置、电视机、计算机、电器、汽车、电子书阅读器等。
实例电路构造技术
图3说明本文中所描述的电路构造技术的实现方式300的实例。此实现方式在本文中称为“电路构造技术300”。此技术说明作为如图2中所示的以及上文所描述的电路组合件280构造的一部分发生的主要动作。
电路构造技术300包括裸片到电路组合件的直接传递310、电路生产320和原位封装330。
如通过简化形式所描绘,直接传递310包括经过拉伸的晶片(在312处以俯视平面图且在316处以侧面正视图两者示出)、裸片到电路传递机构314和电路组合件318。
经过拉伸的晶片312/316具有粘附到载体基层(例如,粘性划片胶带)的一侧上的多个裸片。如所示,裸片到电路传递机构314(或简称传递机构)包括上下移动的往复式销。电路组合件318包括电路衬底,并且在一些实现方式中,电路的一些部分已处于电路衬底(或本文简称为“衬底”)上或电路衬底中。如本文所使用,电路组合件包括电路衬底和现有导电链接,和/或出于使用电路组合件的所得电路完成功能电路的最终目的,所述电路组合件准备用于接收/接受导电链接。
通过框310中所示的方式布置各个部分。从顶部到底部,所述部分以如下方式布置:传递机构314在顶部、电路组合件318在底部,且经过拉伸的晶片316插于其间。此外,所述部分进行布置,使得电路组合件318的接收侧和载体基层的裸片侧彼此相向。传递机构被布置成处于与裸片的载体基层相对的侧面上。例如,通过上下移动或往复式移动实现直接传递。下文在图5的上下文中更多地论述此布置。
在320处,生产电路本身。电路通常由电路组合件318构造或已是电路组合件318的一部分。电路组合件318包括衬底。通常,如本文所使用,衬底是强度足以支撑其上或其中的电路的平面材料。此种材料的实例包括(但不限于)聚对苯二甲酸乙二醇酯(PET)、聚酯、聚氯乙烯(PVC)、聚酰胺薄膜(例如,KaptonTM)、印刷电路板(PCB)等。衬底通常较薄。衬底可以是柔性的或刚性的。衬底不是划片胶带或其他类似可拉伸材料。
一旦处于电路组合件318的衬底上,裸片便牢牢地固定到衬底。这可以通过多种方式完成。所述方式的实例包括:
·电路的固化致使LED的衬垫结合到电路,
·衬底可以覆盖在粘合剂中(所述粘合剂可能比用于载体基层上的粘合剂更有粘性)。
·少量粘合剂在裸片预期/计划传递到的精确位置滴落在电路组合件318上。
·将固定性涂层喷涂在电路组合件318和所传递裸片上以便将裸片固持在适当位置。
·直接传递机构314可以通过其中将机构的销用作皮下注射针的方式将粘合剂沉积在所传递裸片上和上方。
在框310中所说明的直接传递之前或之后,在电路组合件318的衬底上或衬底中生产电路。出于论述的目的,论述在直接传递之后在衬底上生产电路的实例。下文将在图4A和4B的上下文中论述其他替代方案和选项。
如在320处所示,如通过框310所说明,在裸片的直接传递之前,导电链接(例如,导电迹线322、324)沉积在电路组合件318的衬底上。随后,将裸片(例如,裸片326、328)放置于电路组合件318的衬底上。
如所示,裸片(例如,裸片326和328)放置于迹线之间或另外放置于迹线附近。在一些情况下,裸片单独的放置可以足以与迹线电接触。
在直接载体到电路裸片的传递310和电路生产320之后进行原位封装330。术语“原位”包括“就地”或“在现有地方或位置”的概念。更具体来说,本文中使用的“原位封装”包括在裸片处于电路组合件中或电路组合件上的适当位置之后“封装”裸片的形式。这与每个个别裸片固定到专门设计用于接收所述裸片的模具的常规封装形成对比。通过一种方式处理裸片,使得已封装装置提供连接到电路、保护装置以及可能改变装置的一些特性(例如,LED的颜色调整)的标准化方式。
原位封装330框的左侧示出电路组合件的所传递装置331的俯视平面图。此视图还示出突出的虚线圆332。原位封装330框的右侧示出突出的虚线圆332的横截面图。
在原位封装330的突出虚线圆332中示出已传递的装置331。如所描绘,装置331具有至少一个导电迹线334。添加导电互连(如在336处示出)以便将装置331的电触点337连接到导电迹线334。下文关于图6及其相关论述来论述此实例和其他实例实现方式。
框330的右侧示出在电路组合件的衬底338上的电路的横截面图。这示出装置332、装置的导电迹线334及其间的互连336。
框330还示出涂覆喷嘴340,所述涂覆喷嘴被示为将液体涂覆材料342喷涂到电路组合件的电路上和上方。具体来说,涂层覆盖装置331、装置的导电迹线334以及装置和导电迹线的互连336。在344处示出干燥的和/固化的涂层。
此涂层可以设计成用于许多目的,例如,保护装置免受环境问题(例如,热、湿度、冷、湿气、灰尘等)影响。稍后在图6的上下文及其相关描述中提供进一步论述。
图4A和4B说明用于本文中所描述的电路构造技术的电路生产方法的实现方式(分别是400和450)的实例。
图4A示出包括未封装的发光二极管(LED)410、衬底420以及一对导电迹线430、432的实例实现方式400。电介质442分离迹线430和432。LED裸片410具有两个电触点(412和414)并且箭头440指示从LED裸片410发出的光的主要行进方向。
如实例400中所示,LED裸片410相对于衬底420“自上而下”或“面朝下”放置。尽管在LED裸片410上不存在实际“顶部”或“面”,但是其上具有两个电触点(412和414)的LED裸片的侧面在本文中称为“顶部”或“面”。LED裸片410自上而下放置并且放置于某个位置,使得每个触点与迹线中的一者进行有效电连接。例如,触点412与导电迹线430进行有效电连接。
为了实现此电连接,导线迹线430、432在LED裸片410之前沉积到衬底420上。另外,在迹线仍然是液体或可模制时,将LED裸片410放置到衬底420上。因此,在固化或干燥迹线之前,LED裸片410放置在迹线430、432上/上方。
如所示,迹线以物理平行的方式放置并且其间具有足够小的空间,以便使每个迹线能够与裸片的触点中的一者进行电连接。然而,在迹线之间应具有足够空间以避免迹线渗入到彼此之中(或足够接近而触摸/形成电弧)以及在将电力供应到电路时引起短路。
圆形区域442表示迹线之间的介电空间。此介电空间可以包括放置在导电迹线之间的空气或一些其他介电材料。在至少一个实现方式中,触点之间的距离是50微米。因此,在所述实现方式中,介电空间是50微米宽或更小。导电迹线和/或其他介电材料可以具有用于将LED裸片410固定(即,机械附接)到衬底420的粘附特性。
在此情形中,迹线、介电材料和/或衬底可以是不透明的。实际上,其可以是反射性的。因此,通过箭头440表示大部分发射光和反射光的大体方向。在替代实例中,迹线、介电材料和/或衬底可以是半透明的或甚至完全透明的。在这些实例中,除了通过440表示的方向,光还可以在其他方向上发射和/或反射。
图4B示出包括未封装的发光二极管(LED)460、衬底470以及一对导电迹线480、482的实例实现方式450。LED裸片460通过粘合剂492(例如,UV可固化粘合剂)固定到衬底470。
互连导电材料484、486分别连接到LED裸片460的电触点(462和464)。箭头490指示从LED裸片460发出的光的主要行进方向。
如实例450中所示,LED裸片460相对于衬底470“顶部朝上”或“面朝上”放置。尽管在LED裸片460上不存在实际“顶部”或“面”,但是其上具有两个电触点(462和464)的LED裸片的侧面在本文中称为“顶部”或“面”。LED裸片460顶部朝上放置并且放置于导电迹线480与482之间的某个位置中。在放置之后,添加互连导电材料以便将每个触点可操作地电连接到迹线。例如,互连导电材料484在迹线480与触点462之间形成操作性连接。
为了实现此,在LED裸片460放置于衬底上之前,将导线迹线480、482沉积到衬底470上。在迹线(480、482)之间,将粘合剂492精确地放置于需要LED裸片的位置。随后,将LED裸片460放置到衬底470上,粘合剂492上。粘合剂492干燥或固化以便牢牢地固定衬底470上的LED裸片460。在一些实现方式中,粘合剂492为25微米厚或更少。接下来,互连材料(例如,484和486)以某种方式沉积,使得将LED裸片460的触点可操作地连接到导电迹线。例如,可以通过精密流体分配装置(例如,AdvanJetTM HV-2000)放置互连材料。随后,固化或干燥互连材料。
在此情形中,迹线、粘合剂和/或衬底是半透明的。实际上,其可以是透明的。因此,通过箭头490表示大部分发射光和反射光的大体方向。在替代实例中,迹线、粘合剂或衬底中的一者或多者可以是不透明的(即,非半透明)或可能是反射性的。在这些实例中,除了通过490表示的方向,光还可以在其他方向上发射和/或反射。
实例直接载体到电路的传递技术
图5说明本文中所描述的电路构造技术的直接载体到电路的传递技术的实现方式500的实例。
如通过简化形式所描绘,直接传递500包括在左侧以俯视平面图示出以及在右侧以截面图示出的经过拉伸的晶片510。经过拉伸的晶片510包括经过拉伸的载体基层512(例如,粘性划片胶带),其中多个未封装的半导体装置(例如,裸片550)粘附到一侧。如在横截面图中所示,直接传递500还包括裸片到电路传递机构530和电路衬底520。
从顶部到底部,传递机构530在顶部、电路衬底520在底部,以及经过拉伸的晶片510插于其间。示出此自上而下布置来说明组件和部件的对准。在其他实现方式中,组件可以自上而下不同的角度和方向对准。例如,所述组件可以并排或成角度。
组件被布置成使得电路衬底520的接收侧和载体基层512的裸片侧彼此相向。传递机构530被布置成使得其处于与裸片相对的载体基层512的侧面上。例如,通过上下移动或往复式移动实现直接传递。
直接传递机构530的销被设计成按压在每个裸片(例如,裸片550)上,以便使裸片与电路衬底物理接触并且处于特定所需的位置。载体基层在扎钉动作期间拉伸。在销缩回之后,弹性(即,可拉伸)胶带释放固定裸片并且弹性地返回到其自然未拉伸状态。
如图5中所示,虚线圆540指示本文在区域的一系列特写的背景下论述的所关注区域。所关注区域的按序特写标记为A、B和C并且分别可以称为540A、540B和540C。
在540A处,裸片550示为仍粘附到载体基层512并且悬挂在电路衬底520上方。此时,直接传递机构532尚未移动。如所示,说明面朝上实现方式。这通过电触点552面朝上演示。电路衬底520具有其间具有粘合剂526的两个平行导电链接522、524。裸片550悬挂在粘合剂526上方以及导电链接522、524之间。
载体基层512与电路衬底520之间的距离是5毫米或更小。在一些实现方式中,所述距离小于二毫米。在另一些实现方式中,所述距离小于一毫米。
在540B处,直接传递机构530的销被示为垂直向下移动,如通过箭头532所指示。这样,销将裸片550朝向电路衬底520向下推并且拉伸载体基层512。虚线圆所指示的区域560是销在裸片550的大致中心处与载体基层512接触的区域。如区域560中所示,销的向下运动会拉伸载体基层512并且基层沿着其边缘从裸片550释放。
销的向下运动使裸片550撞击电路衬底520。通过销、裸片550和其他材料(例如,粘合剂526和导电链接522、544)的对准,销的向下运动将裸片按压到粘合剂中并且可能与导电链接相抵。
在540C处,直接传递机构530的销被示为垂直向上缩回,如通过箭头534所指示。这样,释放对裸片或载体基层512的所有力。通过与弹簧垫类似的方式,基层返回到几乎其原始位置和形状。这在区域562中示出。使裸片550粘附到衬底520。稍后,粘合剂526和/或链接522、524的固化(或干燥)会将裸片永久地固定到衬底520。
经过拉伸的晶片510可以是装置的分组或未分组集合。由于已知裸片的图,所以直接传递500可以基于选定裸片的已知特性与将放置于所需位置的装置的所需特性匹配(或近乎拟合)而选择经过拉伸的晶片510上的特定裸片。
关于直接传递机构,一个或多个实现方式涉及单轴移动。部件(例如,销)在一个方向上移动以便使载体粘附的裸片撞击电路衬底。随后,相同部件缩回(在完全相反方向上)以便将裸片留在电路衬底上并且从载体基层释放。因此,移动沿着单个轴。单轴移动类似于缝纫机中的针的移动。载体基层在被拉伸时可以是半透明的。这有助于将待传递裸片对准到用于传递的衬底上的所需/目标位置。
一些实现方式使用止推销。在一个实现方式中,止推销不刺穿载体基层,但是仅使基层成圆顶形,所述基层在销缩回时释放。裸片由于电路衬底上的较大粘附力而保持在适当位置。将裸片固定到衬底的粘附力可以是化学的和/或静电的。在一些实现方式中,止推销实际上刺穿载体基层并且将裸片机械推出载体基层且推到衬底上。
实例原位封装技术
图6A至6D说明本文中所描述的电路构造技术的原位封装技术600的实现方式610、630和650的实例的横截面。
常规封装包括将裸片安装到塑料或陶瓷封装(例如,模具或罩壳)中。常规封装还包括将裸片触点连接到用于与最终电路介接/互连的引脚/电线。通常通过密封裸片来保护所述裸片免受环境(例如,灰尘、温度和/或湿度)影响而完成半导体装置的常规封装。
如所描绘,原位封装600发生在直接载体到电路裸片的传递和电路生产之后。术语“原位”包括“就地”或“在其现有的地方或位置”的概念。更具体来说,本文中使用的“原位封装”包括一旦裸片处于电路中或电路(或将最终变成电路的至少表面)上的适当位置便“封装”裸片的形式。这与每个个别裸片固定到专门设计用于接收所述裸片的模具的常规封装形成对比。通过某种方式处理裸片,使得已封装装置提供连接到电路、保护装置以及可能改变装置的一些特性(例如,LED的颜色调整)的标准化方式。
图6A示出描绘固定裸片的原位封装方法的实例实现方式610。此实现方式610类似于将裸片安装到模具或罩壳中的常规封装方法。如所描绘,两个已传递装置612、614经由粘合剂622、624(例如,固化粘合剂)以面朝上定向固定到电路衬底620。通过触点616相对于衬底620的定位演示面朝上定向。
图6B示出描绘将触点616连接到电路的原位封装方法的实例实现方式630。此实现方式620类似于将裸片触点连接到用于与最终电路介接/互连的引脚/电线的常规封装方法。如所描绘,装置612具有一对导电链接632、634。添加导电互连(如在642和644处示出)以便完成裸片612的电触点616到导电链接632、634之间的电连接。
图6C和6D示出描绘固定裸片的原位封装方法的实例实现方式650。此实现方式610类似于将裸片安装到模具或罩壳中的常规封装方法。
图6C示出电路组合件的所传递装置652的俯视平面图。此视图还示出突出的虚线圆660。图6D示出突出的虚线圆660的横截面图。
在实现方式650的突出虚线圆660中示出已传递装置652。如所描绘,装置652具有至少一个导电迹线654。添加导电互连(如在656处示出)以便将装置652的电触点(如在图6D中所示,674)连接到导电迹线654。
图6D示出在电路组合件的衬底672上的电路的横截面图。这示出装置652、装置的导电迹线654以及将装置的电触点674连接到迹线654的互连656。装置652经由非导电粘合剂676固定到衬底672。
图6D还示出涂覆喷嘴680,所述涂覆喷嘴将液体涂覆材料682施加(例如,喷涂)到电路组合件的电路上和上方。具体来说,涂层覆盖装置652、装置的导电迹线654以及装置和导电迹线的互连656。在684处示出干燥的和/固化的涂层。
此涂层可以设计成用于许多目的,例如,保护装置免受环境问题(例如,热、湿度、冷、湿气、灰尘等)影响。所述涂层还可以被设计成调整所涂覆装置的特性(例如,颜色)。
示例性过程
图7和8是实施本文所描述的技艺的实例过程700和800的流程图。一个或多个系统或设备可以执行实例过程700、800。可以执行所有或一些实例过程的组件、系统或设备的实例包括裸片制造器、电路生产器、载体到电路的传递机构、直接传递机构和原位封装器。
在702处,技术获得载体基层上的一个或多个未封装的半导体装置(“裸片”)。未封装的半导体装置可以是单个离散装置或集成电路(IC)。在一些实现方式中,未封装的半导体装置是发光二极管(LED)。载体基层通常是柔性的和弹性的平面材料。载体基层具有结合到一侧上的粘合剂。
在704处,所述技术将一个或多个未封装的半导体装置从载体基层(或本文更简单称为“载体”)直接传递到电路组合件。在一些实现方式中,载体基层插于直接传递机构与电路组合件之间。以此方式,直接传递机构可以在向下推动裸片时使装置撞击衬底。
在一些实现方式中,传递包括用于每个未封装的半导体装置从载体基层到电路组合件的直接传递的往复式单轴运动。在一些实现方式中,传递包括将未封装的半导体装置以面朝上的方式放置到电路组合件上。
电路组合件的电路衬底通常是平坦的,并且被配置成在上面支撑所传递裸片。在许多实现方式中,衬底不厚于0.2毫米。在一些实现方式中,还将导电链接安置于电路组合件的电路衬底上。此外,这可以在直接传递之前完成。
在706处,所述技术执行将一个或多个所传递装置和/或其相关联电路原位封装。通常,这包括以下各者中的一者或多者:将传递装置固定到衬底,将装置与电路互连,或涂覆或密封装置和/或其电路。这关于图8和实例过程800更多地进行论述。
在708处,所述技术制造最终产品。更具体来说,最终产品是将所构造电路组合件并入到电子产品的可操作电路中的电子产品(例如,移动装置、计算机等)。所述所构造组合件可以包括原位封装的电路和装置。
图8示出详细说明框706的原位封装的实例过程800。
在802处,所述技术(例如,原位封装器)将一个或多个所传递装置固定到电路组合件的电路衬底。在一些实现方式中,这使用固化粘合剂实现。取决于实现方式,粘合剂可以是透明的、半透明的或不透明的。取决于实现方式,粘合剂可以是导电的或非导电的。在一些实现方式中,粘合剂的区域在需要所传递裸片的特定位置施加到衬底。粘合剂区域的尺寸可以是裸片尺寸的一半至裸片尺寸的三倍。一旦将裸片放置到粘合剂上,粘合剂固化以便将裸片牢牢地固定到衬底并且避免其他材料的不需要的粘附。
在804处,所述技术将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接。在一些实现方式中,这可以通过在导电链接与所传递装置的电触点之间施加连续导电材料来实现。
在806处,所述技术将涂覆材料施加(例如,“涂覆”)到一个或多个所传递装置。施加可以包括经由选自由以下各者组成的群组的动作将未固化的液态或胶状涂覆材料传递到电路组合件(或仅一个或多个所传递装置)上和上方:喷涂、涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷、上漆、浸渍、浸泡、厚涂、浸浴、喷淋、浸液、沉浸和投浸。
所述涂覆材料可以是保护电路组合件免受环境因素影响的保护涂层。另外或在替代方案中,涂覆材料可以是调整所传递装置的特性的改变特性的涂层。
额外的和替代的实现方式注解
如本文所使用的修饰语“直接”(或其类似者)在应用于在载体到电路传递的上下文中的传递时指示不存在中间步骤、放置或裸片的轨迹。实际上,裸片从其载体直接地移动到电路组合件(电路所处或将处于的位置)。在最终放置到电路中之前,不存在储存裸片的中间保存区域或其他载体基层。
如本文中所使用的“半导体装置”是指单个离散装置或集成电路(IC)。如本文所使用,提及单个离散半导体装置(“SD半导体装置)明确排除IC,而是包括例如发光二极管(LED)、二极管、晶体管、电阻器、电容器、保险丝和类似者的装置。
本文所描述的裸片可以是LED。更具体来说,本文所论述的裸片可以是微型LED。微型LED的直径是10微米到400微米。本文所描述的一些实现方式使用具有50微米到300微米的直径的微型LED。另一些实现方式使用具有90微米到270微米的直径的微型LED。另一些实现方式使用具有100微米到250微米的直径的微型LED。实际微型LED的实例包括CREETMTR1823(230×180×50微米)和CREETM TR2227(220×270×50微米)。这些CREETM微型LED的接触垫的直径相距大约60微米。
如本文中所使用的“半导体装置”是指单个离散装置或集成电路(IC)。如本文所使用,提及单个离散半导体装置(“SD半导体装置)明确排除IC,而是包括例如发光二极管(LED)、二极管、晶体管、电阻器、电容器、保险丝和类似者的装置。除非上下文另外指示,否则术语“半导体装置裸片”或简称为“裸片”是指未封装的半导体装置。
载体基层是平面材料。所述平面材料通常由柔性和弹性(例如,可拉伸)材料(例如,聚氯乙烯(PVC))制成并且具有结合在一侧上的粘合剂(例如,丙烯酸或合成丙烯酸)。载体基层通常具有高撕裂强度、为柔性的且为弹性的。通常,载体基层的主要用途之一是在裸片单个化期间确保个别裸片牢牢地保持在适当位置。
电路组合件包括被配置成在上面支撑所传递裸片的平面衬底。除非上下文另外指示,否则如本文所使用的衬底是强度足以支撑其上或其中的电路的平面材料。此种材料的实例包括(但不限于)聚对苯二甲酸乙二醇酯(PET)、聚酯、聚氯乙烯(PVC)、聚酰胺薄膜(例如,KaptonTM)、印刷电路板(PCB)等。衬底通常较薄。薄衬底为0.1mm到0.15mm厚或也许更薄。在一些实现方式中,薄衬底具有0.07mm到0.2mm的厚度。衬底可以是柔性的或刚性的。衬底不是划片胶带或其他类似弹性材料。
可以使用精密流体分配(PFD)的各种方法中的一者或多者将迹线和/或互连材料来放置于衬底上和/或裸片上方。这些PFD方法的实例包括丝网印刷、针头滴涂、液滴/喷墨印刷和气溶胶喷射印刷。通常,丝网印刷可以产生窄至100微米宽和5微米厚的迹线/线,针头滴涂可以产生窄至100微米宽和50微米厚的迹线/线,液滴/喷墨印刷可以产生窄至150微米宽和75微米厚的迹线/线,并且气溶胶喷射印刷可以产生窄至10微米到20微米宽以及(在z维度上)仅几微米(例如,3到10)厚的迹线/线。
AdvanJetTM HV-2000是可以用于本文所描述的实现方式中的滴注喷射印刷机的实例。OptomecTM是可以用于本文所描述的实现方式中的合适气溶胶喷射技术的实例。
本文论述导电链接。导电链接是形成电路的导电机构,所述电路被设计成载运电流。如本文所使用的术语“导电迹线”是导电链接的实例。通常,导电迹线沉积在电路组合件的衬底上。除了沉积导电迹线之外,其他方法可以用于生产电路的导电链接。例如,可以蚀刻或烧蚀材料以便展现导电材料。导电材料(例如,氧化铟锡(ITO))可以涂覆表面。
通常,导电迹线是由允许电路绘制或印刷在各种衬底材料上的燃烧高固体系统或PTF聚合物厚膜系统形成。形成这些迹线的油墨通常含有导电材料,例如,银粉或银片或碳状材料。另外,可以使用具有半透明或透明纳米纤维的油墨。
用于导电链接的导电材料还可以用于互连(在链接与裸片的触点之间)。在一些实现方式(例如,裸片的面朝上放置)中,使互连材料透明可能非常有用。此材料的实例包括非晶透明导电氧化物(ATCO)、透明导电氧化物(TCO)、透明导电碳纳米管(TCCN)和透明导电聚合物。
本文中论述涂层。涂层的用途包括保护和特性改变效果(例如,LED的颜色调整)。保护涂层被设计成保护电路(例如,印刷电路板)、连接和组件免受湿气、水浸、灰尘、极端温度、石油、化学品、硫磺和/或环境腐蚀影响。保护涂层的用途是保护涂覆材料免受环境因素影响并且因此增强涂覆电路的可靠性寿命。通常,合适的保护涂层是透明、薄的、具有低粘度、耐化学腐蚀、化学和电气稳定并且具有低表面张力。
在至少一个实现方式中,在液体状态下,将涂层喷涂在电路组合件的电路上并且因此有效地封装电路的裸片。除了喷涂之外或作为喷涂的替代方案,其他应用技术可以用于其他实现方式中。例如,涂覆材料可以在裸片上涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷或上漆等。电路组合件可以浸渍、浸泡、厚涂、软化、喷淋、浸液、沉浸或投浸到涂覆材料中。
如果涂覆材料是液体,则涂覆材料可以在施加之后干燥或固化。合适保护材料的实例包括3MTM NovecTM电子级涂层、粘合剂(例如,来自戴马士公司的粘合剂)、环氧树脂(例如,来自美国艾克比树脂公司的环氧树脂)、保形涂层、聚对二甲苯保形涂层、丙烯酸、聚氨酯、硅酮、含氟或无氟聚对二甲苯和非晶含氟聚合物。
还可以采用其他涂层。例如,涂层可以用于调整电路和/或装置的特性。例如,LED可以用磷光剂涂覆以便调整LED发出的颜色。可以使用其他颜色调整涂层(例如,量子点)。
在示例性实现方式的以上描述中,出于阐释的目的,阐述特定数目、材料配置和其他细节,以便更好地阐释如所要求的本发明。然而,本领域技术人员将明白,本发明可以使用不同于本文所描述的示例性细节的细节来实践。在其他实例中,省略或简化众所周知的特征以便阐明示例性实现方式的描述。
发明人打算使所描述的示例性实现方式主要作为实例。发明人并未打算使这些示例性实现方式限制所附权利要求的范围。而是,发明人已预期本发明还可以结合其他目前或未来技术通过其他方式体现和实施。
此外,词“示例性”在本文中用于表示“充当实例、例子或说明”。本文描述为“示例性”的任何方面或设计未必解释为比其他方面或设计优选或有利。而是,使用词“示例性”旨在以具体方式呈现概念和技术。例如,如通过本文所描述的上下文所指示,术语“技术”可以指代一个或多个装置、设备、系统、方法、制品和/或计算机可读指令。
如本申请案中使用的术语“或”旨在表示包括性“或”,而不是排他性“或”。也就是说,除非另外指定或从上下文中清楚可知,“X使用A或B”旨在表示任何自然的包括性排列。也就是说,如果X使用A;X使用B;或X使用A和B,则“X使用A或B”满足任何前述情况。另外,本申请案和所附权利要求书中使用的冠词“一(a、an)”一般应解释为表示“一个或多个”,除非另外指定或从上下文清楚地指示为单数形式。
这些过程被说明为逻辑流程图中的框的集合,所述逻辑流程图表示可以通过机械单独地或组合硬件、软件和/或固件实施的一系列操作。在软件/固件的背景下,框表示存储在一个或多个计算机可读存储媒体上的指令,所述指令在由一个或多个处理器执行时执行所叙述的操作。
应注意,描述过程的顺序不希望被解释为限制性的,并且任何数目的所描述过程框可以任何顺序组合来实施所述过程或替代过程。另外,在不脱离本文所描述的标的的精神和范围的情况下,可以从过程中删除个别框。
术语“计算机可读媒体”包括计算机存储媒体。例如,计算机存储媒体可以包括(但不限于)磁性存储装置(例如,硬盘、软盘和磁带)、光盘(例如,压缩光盘(CD)和数字通用光盘(DVD))、智能卡、闪存装置(例如,拇指驱动器、棒、密钥驱动器和SD卡)以及易失性和非易失性存储器(例如,随机存取存储器(RAM)、只读存储器(ROM))。
除非上下文另外指示,否则本文使用的术语“逻辑”包括硬件、软件、固件、电路、逻辑电路、集成电路、其他电子组件和/或其适合于执行针对所述逻辑描述的功能的组合。
描述实现方式的其他方式
以下是用于描述此处介绍的实现方式的不同方式的列表:
实例A:一种方法包括获得载体基层上的一个或多个未封装的半导体装置;将一个或多个未封装的半导体装置从载体基层直接传递到电路组合件;通过以下方式原位封装一个或多个所传递装置:将一个或多个所传递装置固定到电路组合件的电路衬底;将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接;将涂覆材料施加到一个或多个所传递装置。
实例A中所描述的装置可以任选地包括作为单个离散装置的未封装的半导体装置。
实例A中所描述的装置可以任选地包括选自由发光二极管(LED)、二极管、晶体管和电阻器组成的群组的未封装的半导体装置。
实例A中所描述的装置可以任选地包括作为发光二极管(LED)的未封装的半导体装置。
实例A中所描述的装置可以任选地包括具有10微米到400微米的直径的未封装的半导体装置。
实例A中所描述的装置可以任选地包括具有90微米到270微米的直径的未封装的半导体装置。
实例A中所描述的装置可以任选地包括作为柔性和弹性平面材料的载体基层,所述载体基层具有结合到一侧上的粘合剂。
实例A中所描述的装置可以任选地包括在被拉伸时半透明的载体基层。
实例A中所描述的装置可以任选地包括插于直接传递机构与电路组合件之间的载体基层。
实例A中所描述的装置可以任选地包括传递,所述传递包括用于每个未封装的半导体装置从载体基层到电路组合件的直接传递的往复式单轴运动。
实例A中所描述的装置可以任选地包括传递,所述传递包括将未封装的半导体装置以面朝上的方式放置到电路组合件上。
实例A中所描述的装置可以任选地包括电路组合件的电路衬底,所述电路衬底是平坦的,并且被配置成在上面支撑所传递裸片。
实例A中所描述的装置可以任选地包括不厚于0.2毫米的电路衬底。
实例A中所描述的装置可以任选地包括将导电链接安置于电路组合件的电路衬底上。
实例A中所描述的装置可以任选地包括发生在直接传递之前的安置。
实例A中所描述的装置可以任选地包括作为导电迹线的导电链接。
实例A中所描述的装置可以任选地包括施加粘合剂以便将一个或多个所传递装置固定到电路衬底。
实例A中所描述的装置可以任选地包括互连,所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
实例A中所描述的装置可以任选地包括施加,所述施加包括经由选自由以下各者组成的群组的动作将未固化的液态或胶状涂覆材料传递到电路组合件上和上方:喷涂、涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷、上漆、浸渍、浸泡、厚涂、浸浴、喷淋、浸液、沉浸和投浸。
实例A中所描述的装置可以任选地包括涂覆材料,所述涂覆材料是保护电路组合件免受环境因素影响的保护涂层。
实例A中所描述的装置可以任选地包括涂覆材料,所述涂覆材料是调整所传递装置的特性的改变特性的涂层。
实例B:
一种方法包括获得载体基层上的一个或多个未封装的半导体装置;和/或将一个或多个未封装的半导体装置从载体基层直接传递到电路组合件,其中电路组合件包括被配置成支撑所传递装置及其相关联电路的电路衬底。
实例B中所描述的装置可以任选地包括选自由单个离散装置和集成电路(IC)组成的群组的未封装的半导体装置。
实例B中所描述的装置可以任选地包括选自由发光二极管(LED)、二极管、晶体管和电阻器组成的群组的未封装的半导体装置。
实例B中所描述的装置可以任选地包括作为发光二极管(LED)的未封装的半导体装置。
实例B中所描述的装置可以任选地包括具有50微米到300微米的直径的未封装的半导体装置。
实例B中所描述的装置可以任选地包括具有100微米到250微米的直径的未封装的半导体装置。
实例B中所描述的装置可以任选地包括作为柔性和弹性平面材料的载体基层,所述载体基层具有结合到一侧上的粘合剂。
实例B中所描述的装置可以任选地包括在被拉伸时半透明的载体基层。
实例B中所描述的装置可以任选地包括插于直接传递机构与电路组合件之间的载体基层。
实例B中所描述的装置可以任选地包括传递,所述传递包括用于每个未封装的半导体装置从载体基层到电路组合件的直接传递的往复式单轴运动。
实例B中所描述的装置可以任选地包括传递,所述传递包括将未封装的半导体装置以面朝上的方式放置到电路组合件上。
实例B中所描述的装置可以任选地包括电路组合件的电路衬底,所述电路衬底是平坦的,并且被配置成在上面支撑所传递裸片。
实例B中所描述的装置可以任选地包括不厚于0.2毫米的电路衬底。
实例B中所描述的装置可以任选地包括电路组合件的电路衬底上的导电链接。
实例B中所描述的装置可以任选地包括发生在直接传递之前的安置。
实例B中所描述的装置可以任选地包括作为导电迹线的导电链接。
实例B中所描述的装置可以任选地包括通过将一个或多个所传递装置固定到电路组合件的电路衬底来原位封装一个或多个所传递装置。
实例B中所描述的装置可以任选地包括固定,所述固定包括施加粘合剂以便将一个或多个所传递装置固定到电路衬底。
实例B中所描述的装置可以任选地包括通过将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接来原位封装一个或多个所传递装置。
实例B中所描述的装置可以任选地包括互连,所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
实例B中所描述的装置可以任选地包括通过将涂覆材料施加到一个或多个所传递装置来原位封装一个或多个所传递装置。
实例B中所描述的装置可以任选地包括施加,所述施加包括经由选自由以下各者组成的群组的动作将未固化的液态或胶状涂覆材料传递到电路组合件上和上方:喷涂、涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷、上漆、浸渍、浸泡、厚涂、浸浴、喷淋、浸液、沉浸和投浸。
实例B中所描述的装置可以任选地包括涂覆材料,所述涂覆材料是保护电路组合件免受环境因素影响的保护涂层。
实例B中所描述的装置可以任选地包括涂覆材料,所述涂覆材料是调整所传递装置的特性的改变特性的涂层。
实例B中所描述的装置可以任选地包括通过将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接;和/或将涂覆材料施加到一个或多个所传递装置来原位封装一个或多个所传递装置。
实例B中所描述的装置可以任选地包括通过将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接;和/或使用保护电路组合件免受环境因素影响的保护涂层涂覆一个或多个所传递装置来原位封装一个或多个所传递装置,所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
实例C:
一种方法包括:获得电路组合件,所述电路组合件包括其上具有一个或多个未封装的半导体装置及其相关联电路的电路衬底;和/或原位封装电路衬底上的装置。
实例C中所描述的装置可以任选地包括原位封装,所述原位封装包括将装置固定到电路组合件的电路衬底。
实例C中所描述的装置可以任选地包括固定,所述固定包括施加粘合剂以便将一个或多个所传递装置固定到电路衬底。
实例C中所描述的装置可以任选地包括原位封装,所述原位封装包括将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接。
实例C中所描述的装置可以任选地包括互连,所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
实例C中所描述的装置可以任选地包括原位封装,所述原位封装包括将涂覆材料施加到一个或多个所传递装置。
实例C中所描述的装置可以任选地包括施加,所述施加包括经由选自由以下各者组成的群组的动作将未固化的液态或胶状涂覆材料传递到电路组合件上和上方:喷涂、涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷、上漆、浸渍、浸泡、厚涂、浸浴、喷淋、浸液、沉浸和投浸。
实例C中所描述的装置可以任选地包括涂覆材料,所述涂覆材料是保护电路组合件免受环境因素影响的保护涂层。
实例C中所描述的装置可以任选地包括涂覆材料,所述涂覆材料是调整所传递装置的特性的改变特性的涂层。
实例C中所描述的装置可以任选地包括通过将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接;和/或将涂覆材料施加到一个或多个所传递装置来原位封装一个或多个所传递装置。
实例C中所描述的装置可以任选地包括通过将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接;和/或使用保护电路组合件免受环境因素影响的保护涂层涂覆一个或多个所传递装置来原位封装一个或多个所传递装置,所述互连包括将导电链接之间的连续导电材料施加到电触点。
实例C中所描述的装置可以任选地包括选自由单个离散装置和集成电路(IC)组成的群组的未封装的半导体装置。
实例C中所描述的装置可以任选地包括选自由发光二极管(LED)、二极管、晶体管和电阻器组成的群组的未封装的半导体装置。
实例C中所描述的装置可以任选地包括作为发光二极管(LED)的未封装的半导体装置。
实例C中所描述的装置可以任选地包括具有50微米到300微米的直径的未封装的半导体装置。
实例C中所描述的装置可以任选地包括具有100微米到250微米的直径的未封装的半导体装置。
实例C中所描述的装置可以任选地包括电路组合件的电路衬底,所述电路衬底是平坦的,并且被配置成在上面支撑所传递裸片。
实例C中所描述的装置可以任选地包括不厚于0.2毫米的电路衬底。
实例C中所描述的装置可以任选地包括将导电链接安置于电路组合件的电路衬底上。
实例D:
一种方法包括:制造未封装的半导体装置,其中一个或多个未封装的半导体装置处于载体基层上;构造电路组合件,其中所述构造包括将一个或多个未封装的半导体装置从载体基层直接传递到电路组合件,其中电路组合件包括被配置成支撑所传递装置及其相关联电路的电路衬底;和/或制造电子产品,其中所述制造包括将所构造电路组合件并入到电子产品的可操作电路中。
实例D中所描述的装置可以任选地包括选自由单个离散装置和集成电路(IC)组成的群组的未封装的半导体装置。
实例D中所描述的装置可以任选地包括选自由发光二极管(LED)、二极管、晶体管和电阻器组成的群组的未封装的半导体装置。
实例D中所描述的装置可以任选地包括作为发光二极管(LED)的未封装的半导体装置。
实例D中所描述的装置可以任选地包括具有50微米到300微米的直径的未封装的半导体装置。
实例D中所描述的装置可以任选地包括具有100微米到250微米的直径的未封装的半导体装置。
实例D中所描述的装置可以任选地包括作为柔性和弹性平面材料的载体基层,所述载体基层具有结合到一侧上的粘合剂。
实例D中所描述的装置可以任选地包括插于直接传递机构与电路组合件之间的载体基层。
实例D中所描述的装置可以任选地包括传递,所述传递包括用于每个未封装的半导体装置从载体基层到电路组合件的直接传递的往复式单轴运动。
实例D中所描述的装置可以任选地包括传递,所述传递包括将未封装的半导体装置以面朝上的方式放置到电路组合件上。
实例D中所描述的装置可以任选地包括电路组合件的电路衬底,所述电路衬底是平坦的,并且被配置成在上面支撑所传递裸片。
实例D中所描述的装置可以任选地包括不厚于0.2毫米的电路衬底。
实例D中所描述的装置可以任选地包括将导电链接安置于电路组合件的电路衬底上。
实例D中所描述的装置可以任选地包括通过将一个或多个所传递装置固定到电路组合件的电路衬底来原位封装一个或多个所传递装置。
实例D中所描述的装置可以任选地包括固定,所述固定包括施加粘合剂以便将一个或多个所传递装置固定到电路衬底。
实例D中所描述的装置可以任选地包括通过将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接来原位封装一个或多个所传递装置。
实例D中所描述的装置可以任选地包括互连,所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
实例D中所描述的装置可以任选地包括通过将涂覆材料施加到一个或多个所传递装置来原位封装一个或多个所传递装置。
实例D中所描述的装置可以任选地包括施加,所述施加包括经由选自由以下各者组成的群组的动作将未固化的液态或胶状涂覆材料传递到电路组合件上和上方:喷涂、涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷、上漆、浸渍、浸泡、厚涂、浸浴、喷淋、浸液、沉浸和投浸。
实例D中所描述的装置可以任选地包括涂覆材料,所述涂覆材料是保护电路组合件免受环境因素影响的保护涂层。
实例D中所描述的装置可以任选地包括涂覆材料,所述涂覆材料是调整所传递装置的特性的改变特性的涂层。
实例D中所描述的装置可以任选地包括通过将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接;和/或将涂覆材料施加到一个或多个所传递装置来原位封装一个或多个所传递装置。
实例D中所描述的装置可以任选地包括通过将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接;和/或使用保护电路组合件免受环境因素影响的保护涂层涂覆一个或多个所传递装置来原位封装一个或多个所传递装置,所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
实例E:
一种设备包括:载体到电路的传递机构,其被配置成获得载体基层上的一个或多个未封装的半导体装置;将一个或多个未封装的半导体装置从载体基层直接传递到电路组合件;原位封装器,其被配置成通过将一个或多个所传递装置的每个半导体装置互连到电路组合件的导电链接;和/或将涂覆材料施加到一个或多个所传递装置来原位封装一个或多个所传递装置。
实例E中所描述的装置可以任选地包括作为单个离散装置的未封装的半导体装置。
实例E中所描述的装置可以任选地包括选自由发光二极管(LED)、二极管、晶体管和电阻器组成的群组的未封装的半导体装置。
实例E中所描述的装置可以任选地包括作为发光二极管(LED)的未封装的半导体装置。
实例E中所描述的装置可以任选地包括具有10微米到300微米的直径的未封装的半导体装置。
实例E中所描述的装置可以任选地包括具有100微米到250微米的直径的未封装的半导体装置。
实例E中所描述的装置可以任选地包括作为柔性和弹性平面材料的载体基层,所述载体基层具有结合到一侧上的粘合剂。
实例E中所描述的装置可以任选地包括在被拉伸时半透明的载体基层。
实例E中所描述的装置可以任选地包括插于载体到电路的传递机构与电路组合件之间的载体基层。
实例E中所描述的装置可以任选地包括载体到电路的传递机构,所述载体到电路的传递机构包括用于每个未封装的半导体装置从载体基层到电路组合件的直接传递的往复式单轴运动。
实例E中所描述的装置可以任选地包括载体到电路的传递机构,所述载体到电路的传递机构将未封装的半导体装置以面朝上的方式放置到电路组合件上。
实例E中所描述的装置可以任选地包括电路组合件的电路衬底,所述电路衬底是平坦的并且被配置成在上面支撑所传递裸片。
实例E中所描述的装置可以任选地包括不厚于0.2毫米的电路衬底。
实例E中所描述的装置可以任选地包括原位封装器的互连,所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
实例E中所描述的装置可以任选地包括通过原位封装器进行的涂覆,所述涂覆包括经由选自由以下各者组成的群组的动作将未固化的液态或胶状涂覆材料传递到电路组合件上和上方:喷涂、涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷、上漆、浸渍、浸泡、厚涂、浸浴、喷淋、浸液、沉浸和投浸。
实例E中所描述的装置可以任选地包括涂覆材料,所述涂覆材料是保护电路组合件免受环境因素影响的保护涂层。
实例E中所描述的装置可以任选地包括涂覆材料,所述涂覆材料是调整所传递装置的特性的改变特性的涂层。

Claims (106)

1.一种方法,其包括:
获得载体基层上的一个或多个未封装的半导体装置;
将所述一个或多个未封装的半导体装置从所述载体基层直接传递到电路组合件;
通过以下方式原位封装所述一个或多个所传递装置:
将所述一个或多个所传递装置固定到所述电路组合件的电路衬底;
将所述一个或多个所传递装置的每个半导体装置互连到所述电路组合件的导电链接;
将涂覆材料施加到所述一个或多个所传递装置。
2.如权利要求1所述的方法,其中所述未封装的半导体装置是单个离散装置。
3.如权利要求1所述的方法,其中所述未封装的半导体装置选自由发光二极管(LED)、二极管、晶体管、电阻器、电容器和熔丝组成的群组。
4.如权利要求1所述的方法,其中所述未封装的半导体装置是发光二极管(LED)。
5.如权利要求1所述的方法,其中所述未封装的半导体装置具有10微米到400微米的直径。
6.如权利要求1所述的方法,其中所述未封装的半导体装置具有90微米到270微米的直径。
7.如权利要求1所述的方法,其中所述载体基层是柔性和弹性的平面材料,所述载体基层具有结合到一侧上的粘合剂。
8.如权利要求1所述的方法,其中所述载体基层在被拉伸时是半透明的。
9.如权利要求1所述的方法,其中所述载体基层插于直接传递机构与所述电路组合件之间。
10.如权利要求1所述的方法,其中所述传递包括用于每个未封装的半导体装置从所述载体基层到所述电路组合件的所述直接传递的往复式单轴运动。
11.如权利要求1所述的方法,传递包括将所述未封装的半导体装置以面朝上的方式放置到所述电路组合件上。
12.如权利要求1所述的方法,其中所述电路组合件的所述电路衬底是平坦的,并且被配置成在上面支撑所传递裸片。
13.如权利要求1所述的方法,其中所述电路衬底不厚于0.2毫米。
14.如权利要求1所述的方法,其进一步包括将导电链接安置于所述电路组合件的所述电路衬底上。
15.如权利要求13所述的方法,其中所述安置发生在所述直接传递之前。
16.如权利要求13所述的方法,其中所述导电链接是导电迹线。
17.如权利要求1所述的方法,其中所述固定包括施加粘合剂以便将所述一个或多个所传递装置固定到所述电路衬底。
18.如权利要求1所述的方法,其中所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
19.如权利要求1所述的方法,其中所述施加包括经由选自由以下项组成的群组的动作将未固化的液态或胶状涂覆材料传递到电路组合件上和上方:喷涂、涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷、上漆、浸渍、浸泡、厚涂、浸浴、喷淋、浸液、沉浸和投浸。
20.如权利要求1所述的方法,其中所述涂覆材料是保护所述电路组合件免受环境因素影响的保护涂层。
21.如权利要求1所述的方法,其中所述涂覆材料是调整所述所传递装置的特性的改变特性的涂层。
22.一种方法,其包括:
获得载体基层上的一个或多个未封装的半导体装置;
将所述一个或多个未封装的半导体装置从所述载体基层直接传递到电路组合件,其中所述电路组合件包括被配置成支撑所传递装置及其相关联电路的电路衬底。
23.如权利要求22所述的方法,其中所述未封装的半导体装置选自由单个离散装置和集成电路(IC)组成的群组。
24.如权利要求22所述的方法,其中所述未封装的半导体装置选自由发光二极管(LED)、二极管、晶体管、电阻器、电容器和熔丝组成的群组。
25.如权利要求22所述的方法,其中所述未封装的半导体装置是发光二极管(LED)。
26.如权利要求22所述的方法,其中所述未封装的半导体装置具有50微米到300微米的直径。
27.如权利要求22所述的方法,其中所述未封装的半导体装置具有100微米到250微米的直径。
28.如权利要求22所述的方法,其中所述载体基层是柔性和弹性的平面材料,所述载体基层具有结合到一侧上的粘合剂。
29.如权利要求22所述的方法,其中所述载体基层在被拉伸时是半透明的。
30.如权利要求22所述的方法,其中所述载体基层插于直接传递机构与所述电路组合件之间。
31.如权利要求22所述的方法,其中所述传递包括用于每个未封装的半导体装置从所述载体基层到所述电路组合件的所述直接传递的往复式单轴运动。
32.如权利要求22所述的方法,传递包括将所述未封装的半导体装置以面朝上的方式放置到所述电路组合件上。
33.如权利要求22所述的方法,其中所述电路组合件的所述电路衬底是平坦的,并且被配置成在上面支撑所传递裸片。
34.如权利要求22所述的方法,其中所述电路衬底不厚于0.2毫米。
35.如权利要求22所述的方法,其进一步包括将导电链接安置于所述电路组合件的所述电路衬底上。
36.如权利要求35所述的方法,其中所述安置发生在所述直接传递之前。
37.如权利要求35所述的方法,其中所述导电链接是导电迹线。
38.如权利要求22所述的方法,其进一步包括通过将所述一个或多个所传递装置固定到所述电路组合件的电路衬底来原位封装所述一个或多个所传递装置。
39.如权利要求38所述的方法,其中所述固定包括施加粘合剂以便将所述一个或多个所传递装置固定到所述电路衬底。
40.如权利要求22所述的方法,其进一步包括通过将所述一个或多个所传递装置的每个半导体装置互连到所述电路组合件的导电链接来原位封装所述一个或多个所传递装置。
41.如权利要求40所述的方法,其中所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
42.如权利要求22所述的方法,其进一步包括通过将涂覆材料施加到所述一个或多个所传递装置来原位封装所述一个或多个所传递装置。
43.如权利要求42所述的方法,其中所述施加包括经由选自由以下项组成的群组的动作将未固化的液态或胶状涂覆材料传递到电路组合件上和上方:喷涂、涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷、上漆、浸渍、浸泡、厚涂、浸浴、喷淋、浸液、沉浸和投浸。
44.如权利要求42所述的方法,其中所述涂覆材料是保护所述电路组合件免受环境因素影响的保护涂层。
45.如权利要求42所述的方法,其中所述涂覆材料是调整所述所传递装置的特性的改变特性的涂层。
46.如权利要求22所述的方法,其进一步包括通过以下方式原位封装所述一个或多个所传递装置:
将所述一个或多个所传递装置的每个半导体装置互连到所述电路组合件的导电链接;
将涂覆材料施加到所述一个或多个所传递装置。
47.如权利要求22所述的方法,其进一步包括通过以下方式原位封装所述一个或多个所传递装置:
将所述一个或多个所传递装置的每个半导体装置互连到所述电路组合件的导电链接,所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料;
使用保护所述电路组合件免受环境因素影响的保护涂层涂覆所述一个或多个所传递装置。
48.一种方法,其包括:
获得电路组合件,所述电路组合件包括电路衬底,所述电路衬底在上面具有一个或多个未封装的半导体装置及其相关联电路;
原位封装所述电路衬底上的所述装置。
49.如权利要求48所述的方法,其中所述原位封装包括将所述装置固定到所述电路组合件的所述电路衬底。
50.如权利要求49所述的方法,其中所述固定包括施加粘合剂以便将所述一个或多个所传递装置固定到所述电路衬底。
51.如权利要求48所述的方法,其中所述原位封装包括将所述一个或多个所传递装置的每个半导体装置互连到所述电路组合件的导电链接。
52.如权利要求51所述的方法,其中所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
53.如权利要求48所述的方法,其中所述原位封装包括将涂覆材料施加到所述一个或多个所传递装置。
54.如权利要求53所述的方法,其中所述施加包括经由选自由以下项组成的群组的动作将未固化的液态或胶状涂覆材料传递到电路组合件上和上方:喷涂、涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷、上漆、浸渍、浸泡、厚涂、浸浴、喷淋、浸液、沉浸和投浸。
55.如权利要求53所述的方法,其中所述涂覆材料是保护所述电路组合件免受环境因素影响的保护涂层。
56.如权利要求53所述的方法,其中所述涂覆材料是调整所述所传递装置的特性的改变特性的涂层。
57.如权利要求48所述的方法,其进一步包括通过以下方式原位封装所述一个或多个所传递装置:
将所述一个或多个所传递装置的每个半导体装置互连到所述电路组合件的导电链接;
将涂覆材料施加到所述一个或多个所传递装置。
58.如权利要求48所述的方法,其进一步包括通过以下方式原位封装所述一个或多个所传递装置:
将所述一个或多个所传递装置的每个半导体装置互连到所述电路组合件的导电链接,所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料;
使用保护所述电路组合件免受环境因素影响的保护涂层涂覆所述一个或多个所传递装置。
59.如权利要求48所述的方法,其中所述未封装的半导体装置选自由单个离散装置和集成电路(IC)组成的群组。
60.如权利要求48所述的方法,其中所述未封装的半导体装置选自由发光二极管(LED)、二极管、晶体管、电阻器、电容器和熔丝组成的群组。
61.如权利要求48所述的方法,其中所述未封装的半导体装置是发光二极管(LED)。
62.如权利要求48所述的方法,其中所述未封装的半导体装置具有50微米到300微米的直径。
63.如权利要求48所述的方法,其中所述未封装的半导体装置具有100微米到250微米的直径。
64.如权利要求48所述的方法,其中所述电路组合件的所述电路衬底是平坦的,并且被配置成在上面支撑所传递裸片。
65.如权利要求48所述的方法,其中所述电路衬底不厚于0.2毫米。
66.如权利要求48所述的方法,其进一步包括将导电链接安置于所述电路组合件的所述电路衬底上。
67.一种方法,其包括:
制造未封装的半导体装置,其中所述一个或多个未封装的半导体装置处于载体基层上;
构造电路组合件,其中所述构造包括将所述一个或多个未封装的半导体装置从所述载体基层直接传递到所述电路组合件,其中所述电路组合件包括被配置成支撑所传递装置及其相关联电路的电路衬底;
制造电子产品,其中所述制造包括将所述所构造的电路组合件并入到所述电子产品的可操作电路中。
68.如权利要求67所述的方法,其中所述未封装的半导体装置选自由单个离散装置和集成电路(IC)组成的群组。
69.如权利要求67所述的方法,其中所述未封装的半导体装置选自由发光二极管(LED)、二极管、晶体管、电阻器、电容器和熔丝组成的群组。
70.如权利要求67所述的方法,其中所述未封装的半导体装置是发光二极管(LED)。
71.如权利要求67所述的方法,其中所述未封装的半导体装置具有50微米到300微米的直径。
72.如权利要求67所述的方法,其中所述未封装的半导体装置具有100微米到250微米的直径。
73.如权利要求67所述的方法,其中所述载体基层是柔性和弹性的平面材料,所述载体基层具有结合到一侧上的粘合剂。
74.如权利要求67所述的方法,其中所述载体基层插于直接传递机构与所述电路组合件之间。
75.如权利要求67所述的方法,其中所述传递包括用于每个未封装的半导体装置从所述载体基层到所述电路组合件的所述直接传递的往复式单轴运动。
76.如权利要求67所述的方法,传递包括将所述未封装的半导体装置以面朝上的方式放置到所述电路组合件上。
77.如权利要求67所述的方法,其中所述电路组合件的所述电路衬底是平坦的,并且被配置成在上面支撑所传递裸片。
78.如权利要求67所述的方法,其中所述电路衬底不厚于0.2毫米。
79.如权利要求67所述的方法,其进一步包括将导电链接安置于所述电路组合件的所述电路衬底上。
80.如权利要求67所述的方法,其进一步包括通过将所述一个或多个所传递装置固定到所述电路组合件的电路衬底来原位封装所述一个或多个所传递装置。
81.如权利要求80所述的方法,其中所述固定包括施加粘合剂以便将所述一个或多个所传递装置固定到所述电路衬底。
82.如权利要求67所述的方法,其进一步包括通过将所述一个或多个所传递装置的每个半导体装置互连到所述电路组合件的导电链接来原位封装所述一个或多个所传递装置。
83.如权利要求82所述的方法,其中所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
84.如权利要求67所述的方法,其进一步包括通过将涂覆材料施加到所述一个或多个所传递装置来原位封装所述一个或多个所传递装置。
85.如权利要求84所述的方法,其中所述施加包括经由选自由以下项组成的群组的动作将未固化的液态或胶状涂覆材料传递到电路组合件上和上方:喷涂、涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷、上漆、浸渍、浸泡、厚涂、浸浴、喷淋、浸液、沉浸和投浸。
86.如权利要求84所述的方法,其中所述涂覆材料是保护所述电路组合件免受环境因素影响的保护涂层。
87.如权利要求84所述的方法,其中所述涂覆材料是调整所述所传递装置的特性的改变特性的涂层。
88.如权利要求67所述的方法,其进一步包括通过以下方式原位封装所述一个或多个所传递装置:
将所述一个或多个所传递装置的每个半导体装置互连到所述电路组合件的导电链接;
将涂覆材料施加到所述一个或多个所传递装置。
89.如权利要求67所述的方法,其进一步包括通过以下方式原位封装所述一个或多个所传递装置:
将所述一个或多个所传递装置的每个半导体装置互连到所述电路组合件的导电链接,所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料;
使用保护所述电路组合件免受环境因素影响的保护涂层涂覆所述一个或多个所传递装置。
90.一种设备,其包括:
载体到电路的传递机构,其被配置成:
获得载体基层上的一个或多个未封装的半导体装置;
将所述一个或多个未封装的半导体装置从所述载体基层直接传递到电路组合件;
原位封装器,其被配置成通过以下方式原位封装所述一个或多个所传递装置:
将所述一个或多个所传递装置的每个半导体装置互连到所述电路组合件的导电链接;
将涂覆材料施加到所述一个或多个所传递装置。
91.如权利要求90所述的设备,其中所述未封装的半导体装置是单个离散装置。
92.如权利要求90所述的设备,其中所述未封装的半导体装置选自由发光二极管(LED)、二极管、晶体管、电阻器、电容器和熔丝组成的群组。
93.如权利要求90所述的设备,其中所述未封装的半导体装置是发光二极管(LED)。
94.如权利要求90所述的设备,其中所述未封装的半导体装置具有10微米到300微米的直径。
95.如权利要求90所述的设备,其中所述未封装的半导体装置具有100微米到250微米的直径。
96.如权利要求90所述的设备,其中所述载体基层是柔性和弹性的平面材料,所述载体基层具有结合到一侧上的粘合剂。
97.如权利要求90所述的设备,其中所述载体基层在被拉伸时是半透明的。
98.如权利要求90所述的设备,其中所述载体基层插于所述载体到电路的传递机构与所述电路组合件之间。
99.如权利要求90所述的设备,其中所述载体到电路的传递机构包括用于每个未封装的半导体装置从所述载体基层到所述电路组合件的所述直接传递的往复式单轴运动。
100.如权利要求90所述的设备,其中所述载体到电路的传递机构的所述传递将所述未封装的半导体装置以面朝上的方式放置到所述电路组合件上。
101.如权利要求90所述的设备,其中所述电路组合件的所述电路衬底是平坦的,并且被配置成在上面支撑所传递裸片。
102.如权利要求90所述的设备,其中所述电路衬底不厚于0.2毫米。
103.如权利要求90所述的设备,其中所述原位封装器的所述互连包括在导电链接到所传递装置的电触点之间施加连续导电材料。
104.如权利要求90所述的设备,其中所述原位封装器的所述施加包括经由选自由以下项组成的群组的动作将未固化的液态或胶状涂覆材料传递到电路组合件上和上方:喷涂、涂抹、印刷、刷涂、沉积、滴涂、粉末涂覆、涂覆、密封、覆盖、上光、层压、上釉、包壳、粉刷、上漆、浸渍、浸泡、厚涂、浸浴、喷淋、浸液、沉浸和投浸。
105.如权利要求90所述的设备,其中所述涂覆材料是保护所述电路组合件免受环境因素影响的保护涂层。
106.如权利要求90所述的设备,其中所述涂覆材料是调整所述所传递装置的特性的改变特性的涂层。
CN201580037905.9A 2014-06-06 2015-06-06 具有未封装的半导体装置的电路组合件的制造 Pending CN107078066A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201462009094P 2014-06-06 2014-06-06
US62/009,094 2014-06-06
US201562136434P 2015-03-20 2015-03-20
US62/136,434 2015-03-20
US201562146956P 2015-04-13 2015-04-13
US62/146,956 2015-04-13
PCT/US2015/034596 WO2015188172A2 (en) 2014-06-06 2015-06-06 Manufacture of circuit assembly with unpackaged semiconductor devices

Publications (1)

Publication Number Publication Date
CN107078066A true CN107078066A (zh) 2017-08-18

Family

ID=54767610

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580037905.9A Pending CN107078066A (zh) 2014-06-06 2015-06-06 具有未封装的半导体装置的电路组合件的制造

Country Status (6)

Country Link
US (1) US20170194171A1 (zh)
EP (1) EP3152781A4 (zh)
JP (1) JP2017518650A (zh)
KR (1) KR20170040187A (zh)
CN (1) CN107078066A (zh)
WO (1) WO2015188172A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112020765A (zh) * 2018-05-12 2020-12-01 罗辛尼公司 用于直接转移多个半导体器件的方法和装置
US11094571B2 (en) 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
US11462433B2 (en) 2016-11-23 2022-10-04 Rohinni, LLC Direct transfer apparatus for a pattern array of semiconductor device die
US11488940B2 (en) 2015-03-20 2022-11-01 Rohinni, Inc. Method for transfer of semiconductor devices onto glass substrates

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9502625B2 (en) 2014-06-06 2016-11-22 Rohinni, LLC Electrophotographic deposition of unpackaged semiconductor device
US10141215B2 (en) 2016-11-03 2018-11-27 Rohinni, LLC Compliant needle for direct transfer of semiconductor devices
US10471545B2 (en) 2016-11-23 2019-11-12 Rohinni, LLC Top-side laser for direct transfer of semiconductor devices
WO2018132716A1 (en) 2017-01-12 2018-07-19 Rohinni, LLC Apparatus for high speed printing of semiconductor devices
US10062588B2 (en) 2017-01-18 2018-08-28 Rohinni, LLC Flexible support substrate for transfer of semiconductor devices
US10485108B1 (en) * 2017-03-28 2019-11-19 Northrop Grumman Systems Corporation Method of conformal coating
US11134595B2 (en) 2018-09-05 2021-09-28 Assembleon B.V. Compliant die attach systems having spring-driven bond tools
US11407529B1 (en) 2019-10-22 2022-08-09 Northrop Grumman Systems Corporation Aircraft retrofit system
CN115668481A (zh) 2020-03-31 2023-01-31 R·马维利耶夫 用于形成金属互连层的方法和系统
US11745893B2 (en) 2021-04-29 2023-09-05 Northrop Grumman Systems Corporation Magnetic refueling assembly

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1341958A (zh) * 2000-08-04 2002-03-27 株式会社东芝 芯片的拾取装置及半导体装置的制造方法
US20020149092A1 (en) * 2001-04-11 2002-10-17 Lee Ki Wook Carrier frame and semiconductor package including carrier frame
US20100075459A1 (en) * 2008-09-24 2010-03-25 Kerr Roger S Thermal barrier layer for integrated circuit manufacture

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6111324A (en) * 1998-02-05 2000-08-29 Asat, Limited Integrated carrier ring/stiffener and method for manufacturing a flexible integrated circuit package
US6091332A (en) * 1998-06-09 2000-07-18 Motorola, Inc. Radio frequency identification tag having printed circuit interconnections
US6204092B1 (en) * 1999-04-13 2001-03-20 Lucent Technologies, Inc. Apparatus and method for transferring semiconductor die to a carrier
JP2001068742A (ja) * 1999-08-25 2001-03-16 Sanyo Electric Co Ltd 混成集積回路装置
US8141240B2 (en) * 1999-08-04 2012-03-27 Super Talent Electronics, Inc. Manufacturing method for micro-SD flash memory card
DE10349847B3 (de) * 2003-10-25 2005-05-25 Mühlbauer Ag Positionierungsvorrichtung und -Verfahren für die Übertragung elektronischer Bauteile
US20080060750A1 (en) * 2006-08-31 2008-03-13 Avery Dennison Corporation Method and apparatus for creating rfid devices using penetrable carrier
RU2331951C1 (ru) * 2007-07-24 2008-08-20 Закрытое акционерное общество "Светлана-Оптоэлектроника" Светодиод с двухслойной компаундной областью
JP2012015318A (ja) * 2010-06-30 2012-01-19 Sharp Corp 発光装置の製造方法および発光装置
US9331230B2 (en) * 2012-10-30 2016-05-03 Cbrite Inc. LED die dispersal in displays and light panels with preserving neighboring relationship
US9633883B2 (en) * 2015-03-20 2017-04-25 Rohinni, LLC Apparatus for transfer of semiconductor devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1341958A (zh) * 2000-08-04 2002-03-27 株式会社东芝 芯片的拾取装置及半导体装置的制造方法
US20020149092A1 (en) * 2001-04-11 2002-10-17 Lee Ki Wook Carrier frame and semiconductor package including carrier frame
US20100075459A1 (en) * 2008-09-24 2010-03-25 Kerr Roger S Thermal barrier layer for integrated circuit manufacture

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11488940B2 (en) 2015-03-20 2022-11-01 Rohinni, Inc. Method for transfer of semiconductor devices onto glass substrates
US11515293B2 (en) 2015-03-20 2022-11-29 Rohinni, LLC Direct transfer of semiconductor devices from a substrate
US11562990B2 (en) 2015-03-20 2023-01-24 Rohinni, Inc. Systems for direct transfer of semiconductor device die
US11462433B2 (en) 2016-11-23 2022-10-04 Rohinni, LLC Direct transfer apparatus for a pattern array of semiconductor device die
CN112020765A (zh) * 2018-05-12 2020-12-01 罗辛尼公司 用于直接转移多个半导体器件的方法和装置
CN112020765B (zh) * 2018-05-12 2022-02-01 罗辛尼公司 用于直接转移多个半导体器件的方法和装置
US11094571B2 (en) 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
US11728195B2 (en) 2018-09-28 2023-08-15 Rohinni, Inc. Apparatuses for executing a direct transfer of a semiconductor device die disposed on a first substrate to a second substrate

Also Published As

Publication number Publication date
EP3152781A4 (en) 2018-03-14
EP3152781A2 (en) 2017-04-12
JP2017518650A (ja) 2017-07-06
KR20170040187A (ko) 2017-04-12
WO2015188172A3 (en) 2016-01-28
US20170194171A1 (en) 2017-07-06
WO2015188172A2 (en) 2015-12-10

Similar Documents

Publication Publication Date Title
CN107078066A (zh) 具有未封装的半导体装置的电路组合件的制造
CN105633281B (zh) 一种柔性显示面板及其封装方法、显示装置
US6803245B2 (en) Procedure for encapsulation of electronic devices
CN104733597B (zh) 发光器件及其制造方法
US20190090353A1 (en) Method for manufacturing electronic products, related arrangement and product
CN106601629B (zh) 保护片服贴于芯片感应面的芯片封装构造
CN104081552B (zh) 柔性电子器件的制作方法和制作柔性电子器件的基板
KR20120019385A (ko) 형광체 필름, 이의 제조방법, 형광층 도포 방법, 발광소자 패키지의 제조방법 및 발광소자 패키지
CN102593276A (zh) 用磁场对发光二极管进行固晶的方法
US20180182944A1 (en) Method of Surface-Mounting Components
CN107221607B (zh) 一种显示器件的封装结构及其制作方法、显示装置
CN109686854A (zh) 封装结构及封装方法、电子装置及封装薄膜回收方法
US9105613B1 (en) Method of manufacturing electronic package module and electronic package module manufactured by the same
CN106469776A (zh) 电子装置与其制造方法
US10203627B2 (en) Electrophotographic deposition of unpackaged semiconductor device
CN105591037A (zh) 封装设备
CN105336629A (zh) 电子封装模块的制造方法以及电子封装模块
CN109786575A (zh) 有机封装层、显示基板的形成方法、显示基板、显示装置
KR20120038723A (ko) 발광소자 패키지의 제조방법
US8828754B2 (en) Method for manufacturing LED
US20120178188A1 (en) Method and apparatus for depositing phosphor on semiconductor light-emitting device
US20110114258A1 (en) Transfer apparatus for multiple adhesives
CN214254420U (zh) Led贴膜屏
CN104934515A (zh) 柔性灯片及其加工工艺应用该灯片的照明装置及制造方法
CN102569535A (zh) 发光二极管封装结构的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1241557

Country of ref document: HK

WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20170818

WD01 Invention patent application deemed withdrawn after publication