CN107076681A - 用于基于图像及散射术的叠对测量的信号响应度量 - Google Patents

用于基于图像及散射术的叠对测量的信号响应度量 Download PDF

Info

Publication number
CN107076681A
CN107076681A CN201580055448.6A CN201580055448A CN107076681A CN 107076681 A CN107076681 A CN 107076681A CN 201580055448 A CN201580055448 A CN 201580055448A CN 107076681 A CN107076681 A CN 107076681A
Authority
CN
China
Prior art keywords
measurement
amount
overlay
image
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580055448.6A
Other languages
English (en)
Other versions
CN107076681B (zh
Inventor
S·潘戴夫
D·桑科
陆伟
S·斯里法思塔发
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Priority to CN201910872426.9A priority Critical patent/CN110596146B/zh
Publication of CN107076681A publication Critical patent/CN107076681A/zh
Application granted granted Critical
Publication of CN107076681B publication Critical patent/CN107076681B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/30Determination of transform parameters for the alignment of images, i.e. image registration
    • G06T7/33Determination of transform parameters for the alignment of images, i.e. image registration using feature-based methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N23/00Cameras or camera modules comprising electronic image sensors; Control thereof
    • H04N23/56Cameras or camera modules comprising electronic image sensors; Control thereof provided with illuminating means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Chemical & Material Sciences (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Immunology (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Quality & Reliability (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Image Analysis (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本文中呈现用于测量通过连续光刻工艺而形成于衬底上的结构之间的叠对误差的方法及系统。采用各自具有沿相反方向的经编程偏移的两个叠对目标来执行叠对测量。基于零级散射术信号测量叠对误差,且以两个不同方位角从每一目标收集散射术数据。另外,本发明呈现用于基于所测量的基于图像的训练数据而创建基于图像的测量模型的方法及系统。接着使用经训练的基于图像的测量模型来直接依据从其它晶片收集的所测量图像数据计算一或多个所关注参数的值。本文中描述的用于基于图像的测量的方法及系统适用于度量应用及检验应用两者。

Description

用于基于图像及散射术的叠对测量的信号响应度量
相关申请案的交叉参考
本专利申请案依据35U.S.C.§119主张2014年10月14日提出申请的标题为“测量叠对的方法及设备(Method and Apparatus of Measuring Overlay)”的序列号为62/063,932的美国临时专利申请案的优先权,所述临时专利申请案的标的物以全文引用方式并入本文中。
技术领域
所描述实施例涉及度量系统及方法,且更特定来说涉及用于经改善的基于散射术的叠对测量及基于图像的测量的方法及系统。
背景技术
通常通过适用于样品的一序列处理步骤而制作例如逻辑及存储器装置等半导体装置。通过这些处理步骤形成半导体装置的各种特征及多个结构层级。举例来说,尤其光刻是涉及在半导体晶片上产生图案的一种半导体制作工艺。半导体制作工艺的额外实例包含但不限于化学机械抛光、蚀刻、沉积及离子植入。多个半导体装置可制作于单个半导体晶片上且接着分离成若干个别半导体装置。
在半导体制造工艺期间在各个步骤处使用度量工艺来检测晶片上的缺陷以促成较高合格率。光学度量技术在不具有样本破坏的风险的情况下提供高吞吐量的可能性。包含散射术及反射术实施方案的若干个基于光学度量的技术以及相关联分析算法通常用于表征临界尺寸、膜厚度、组合物、叠对及纳米级结构的其它参数。
通常通过在衬底上沉积一系列层而制作半导体装置。所述层中的一些或所有层包含各种经图案化结构。在特定层内以及在层之间的结构的相对位置对已完成电子装置的性能是至关重要的。叠对是指晶片的相同或不同层上的上覆或交错结构的相对位置。叠对误差是指从上覆或交错结构的标称(即,所要)相对位置的偏差。叠对误差越大,结构未对准程度越大。如果叠对误差太大,那么可损害所制造电子装置的性能。
散射术叠对(SCOL)度量技术已经应用于叠对误差的表征。这些方法主要基于对光学信号的差分测量,所述光学信号对应于来自各自具有经编程叠对偏移的目标对的衍射。基于这些差分测量而提取未知叠对误差。
在大多数现有方法中,基于对结构的不对称性敏感的度量而表征叠对误差。在一个实例中,现有角分辨散射术叠对(SCOL)涉及对指示叠对误差的+1衍射级与-1衍射级之间的不对称性的表征。然而,依赖于不对称性作为叠对误差的指示者是有问题的,这是因为例如线轮廓不对称性或光束照射不对称性等其它不对称性联合到测量信号的叠对产生的不对称性中。此导致对叠对误差的不准确测量。
在现有方法中,通常基于对通过光刻工具而形成于晶片上的各个位置处的特定化目标结构的测量而评估叠对误差。目标结构可采取许多形式,例如方框中方框结构。以此形式,在晶片的一个层上形成方框且在另一层上形成第二较小方框。通过将两个方框的中心之间的对准进行比较而测量局部化叠对误差。在其中目标结构可获得的晶片上的位置处进行此类测量。
不幸地是,这些特定化目标结构通常不符合用以产生电子装置的特定半导体制造工艺的设计规则。此导致对与根据适用设计规则制造的实际装置结构相关联的叠对误差的估计的错误。举例来说,基于图像的叠对度量通常需要用光学显微镜分辨图案,此需要具有远超过设计规则临界尺寸的临界尺寸的粗线。在另一实例中,角分辨SCOL通常需要大间距目标来从叠对目标产生处于+1及-1传播衍射级的充分信号。在一些实例中,可使用介于500nm到800nm的范围内的间距值。同时,逻辑或存储器应用的实际装置间距(设计规则尺寸)可小得多,例如,在100nm到400nm的范围内或甚至低于100nm。
在一种现有方法中,使用具有经编程叠对移位+d及-d的两个双光栅目标来测量两个目标的+1及-1衍射级强度。+1衍射级信号与-1衍射级信号的不对称性是堆叠中的层之间的叠对移位的量度。所测量不对称性与叠对误差成线性比例且使用所述目标对来计算所述比例。进一步细节描述于“用于高级光刻节点C028及C014叠对工艺控制的ASMLYieldStarμDBO叠对目标的性能(Performance of ASML YieldStarμDBO overlay targets foradvanced lithography nodes C028 and C014 overlay process control)”(SPIE会刊8681,用于显微光刻的度量、检验及工艺控制XXVII,86811F(2013年4月18日))以及“用于裸片中亚纳米叠对度量的光学散射术(Optical Scatterometry For In-Die Sub-NanometerOverlay Metrology)”(2013年国际纳电子表征与度量前沿会议(FCMN2013),2013年3月25日到28日,美国马里兰州盖瑟斯堡市NIST)中。
此方法的缺点是对+1及-1衍射级信号的测量需要大间距、非设计规则目标。另一缺点是测量敏感性取决于使照射波长与度量目标的光栅间距恰当匹配。由于可用照射波长通常是有限的,因此此尤其在光栅之间的层对可用波长不透明的情况下限制叠对敏感性。
在另一现有方法中,照射各自具有不同经编程叠对移位的至少三个双光栅目标,且在大范围的入射空间上收集零级衍射光。计算每个目标对之间的信号差。所得差分信号组合与叠对成比例。使用目标的所测量叠对以及已知经编程叠对来计算叠对误差。进一步细节描述于“在生产环境中使用基于散射术的度量(SCOLTM)的叠对控制(Overlay controlusing scatterometry based metrology(SCOLTM)in production environment)”(用于显微光刻的度量、检验及工艺控制XXII,SPIE会刊,卷6922,69222S,(2008))中。此方法的缺点是通常需要六个或八个单元目标来测量X叠对及Y叠对两者。
在一些其它实例中,采用用以进行叠对测量的基于模型的方法。在一个实例中,使双光栅目标的模型参数化(包含叠对参数)。光散射的电磁模型化用以模拟从双光栅目标收集的信号。对照所测量信号执行对所模拟信号的非线性回归以估计叠对误差。此方法需要对结构及材料性质的准确模型化。模型化工作是复杂且耗时的,且所得回归例程需要大量计算工作及时间来得到结果。
未来叠对度量应用由于越来越小的分辨率要求及越来越大的晶片面积值而对度量提出挑战。因此,用于经改善叠对测量的方法及系统是所要的。
基于图像的测量通常涉及辨识图像中的特定目标特征(例如,线段、方框等),且基于这些特征计算所关注参数。通常,特定化目标结构特定于图像处理算法。举例来说,与叠对目标(例如,方框中方框目标、框中框目标、高级成像度量(AIM)目标)相关联的线段经特别设计以符合算法的细节。出于此原因,无法对任意目标或装置结构可靠地执行传统的基于图像的度量算法。
另外,由于算法应用于图像的有限区而丢失信息。因选择特定线边缘等作为分析焦点,可能会由图像中的其它像素做出的贡献被忽略。
此外,传统的基于图像的算法对工艺变化、不对称性及光学系统误差敏感,这是因为这些算法缺乏捕获这些误差源对所捕获图像的影响的系统性方式。
在半导体制造中,且特定来说在图案化工艺中,通过对特定专用结构执行度量而实现工艺控制。这些专用结构可位于裸片之间的刻划线中或裸片本身内。专用度量结构的使用可引入显著测量误差。实际装置结构与专用度量目标之间的差异限制度量数据准确地反映裸片中的实际装置特征的状态的能力。在一个实例中,由于专用度量目标与实际装置结构不位于同一位置,因此差异归因于工艺加载、图案密度或像差场的位置相依差而出现。在另一实例中,专用度量结构与实际装置结构的特性特征大小通常相当不同。因而,即使专用度量目标与实际装置结构紧密接近,大小差仍会引起差异。此外,专用度量结构在装置布局中需要空间。当取样密度要求高时,专用度量结构排挤实际装置结构。
未来度量应用由于越来越小的分辨率要求及越来越大的晶片面积值而对基于图像的度量提出挑战。因此,用于经改善的基于图像的测量的方法及系统是所要的。
发明内容
本文中呈现用于测量通过连续光刻工艺而形成于衬底上的结构之间的叠对误差的方法及系统。基于0级散射术信号测量叠对误差。在一个方面中,仅采用两个叠对目标来执行叠对测量。所述两个叠对目标中的每一者各自包含沿彼此相反的方向的经编程叠对偏移。以两个不同方位角从每一目标收集散射术数据以产生对称信号。使用对称信号而确定叠对误差。
在另一方面中,本文中描述的叠对测量技术适用于包含多个波长的散射术信号。
在另一方面中,额外度量目标与本文中描述的叠对目标结合使用以减小对结构不对称性的测量敏感性。实际上,从这些额外度量目标收集的测量数据用以将不对称性对叠对测量的效应解除相关。
另外,呈现用于仅基于所测量的基于图像的训练数据(例如,从实验设计(DOE)晶片收集的图像)而创建基于图像的测量模型的方法及系统。接着使用经训练的基于图像的测量模型来直接依据从其它晶片收集的所测量图像数据计算一或多个所关注参数的值。通常,针对度量应用与检验应用使用不同测量系统,然而,本文中描述的方法及系统适用于度量应用及检验应用两者。
在一个方面中,本文中描述的经训练的基于图像的测量模型接收图像数据以直接作为输入且提供或多个所关注参数的值作为输出。通过流线化测量工艺,改善预测性结果以及减少计算及用户时间。
在另一方面中,可依据装置上结构的图像确定所关注参数的值。在这些实施例中,装置上结构的图像用以训练如本文中描述的基于图像的测量模型。接着使用经训练的基于图像的测量模型来直接依据从其它晶片收集的相同装置上结构的图像计算一或多个所关注参数的值。
在另一方面中,使用度量目标。在这些实施例中,度量目标结构的图像用以训练如本文中描述的基于图像的测量模型。接着使用所述经训练的基于图像的测量模型以直接依据从其它晶片收集的相同度量目标结构的图像计算一或多个所关注参数的值。如果使用度量目标,那么可从单个图像测量多个目标,且度量目标可包含个结构或至少两个不同结构。
在另一方面中,收集从通过多种不同测量技术的组合执行的测量导出的测量数据以用于模型建立、训练及测量。
如本文中描述,通过仅使用原始图像数据来创建基于图像的测量模型,减少与传统基于图像的度量方法相关联的误差及近似值。另外,基于图像的测量模型对系统性误差、不对称性等不敏感,这是因为基于图像的测量模型是基于从特定度量系统收集的图像数据而训练且用于基于从相同度量系统收集的图像而执行测量。
一般来说,本文中描述的方法及系统将每一图像作为个整体而加以分析。代替辨识图像中的个别特征,每一像素被视为含有关于结构参数、工艺参数、分散参数等(或对结构参数、工艺参数、分散参数等敏感)的信息的个别信号。
前述内容是概述且因此必然含有细节的简化、概括及省略;因此,所属领域的技术人员将了解,所述概述仅是说明性的且不以任何方式限制。在本文中所陈述的非限制性详细描述中,本文中所描述的装置及/或工艺的其它方面、发明特征及优点将变得显而易见。
附图说明
图1描绘安置于待测量的样品10上的叠对目标100及110。
图2是图解说明如本文中描述的测量叠对的方法120的流程图。
图3图解说明用于根据本文中呈现的示范性方法120依据从样品收集的信号估计叠对的系统300。
图4图解说明多层线/空间度量目标130。
图5图解说明具有沿x方向偏移的两个光栅结构的多层线/空间度量目标140。
图6图解说明具有沿y方向偏移的两个光栅结构的多层线/空间度量目标150。
图7图解说明在一个实施例中具有展现叠对误差的已知变化的目标的网格的DOE晶片160。
图8描绘包含与以225度的方位角对叠对目标100的测量相关联的经收集散射术信号171以及与以45度的方位角对叠对目标100的测量相关联的散射术信号172的曲线170。
图9描绘从散射术信号172及散射术信号171导出的差分信号181的曲线180。
图10描绘与以45度及225度方位角以及多个波长进行的对叠对目标100的测量相关联的经求和差分信号的曲线190。
图11描绘图解说明与关联于DOE测量的差分信号的主分量拟合的正弦函数的曲线200。
图12描绘包含具有工艺诱发的不对称性的底部光栅特征211的叠对目标210以及经安置成接近于叠对目标210的包含相同工艺诱发的不对称性的度量目标220。
图13描绘包含具有工艺诱发的不对称性的顶部光栅特征212的叠对目标210以及经安置成接近于叠对目标210的包含相同工艺诱发的不对称性的度量目标230。
图14描绘叠对目标210以及经安置成接近于叠对目标210的度量目标220及230。
图15是图解说明如本文中所描述地训练基于图像的测量模型的方法400的流程图。
图16是图解说明使用如参考方法400所描述的经训练测量模型执行对结构的测量的方法410的流程图。
图17描绘具有包含展现一或多个所关注参数的已知变化的结构的测量位点的网格的实验设计晶片420。
图18描绘晶片420的不同测量位点的说明性图像422到424。
图19图解说明与图像422相关联的像素425的网格。
图20描绘经选择用于根据方法400的模型训练及测量的两个不同像素位置。
图21描绘在于图20中图解说明的像素位置处取样的所测量强度值的向量436。
图22图解说明晶片420的图像的单个主分量的值的等值线图440。
图23描绘指示y轴上的实际焦点误差以及x轴上的对应预测焦点误差的模拟结果的曲线441。
图24描绘指示与图23中描绘的每一测量点相关联的残差焦点误差值的曲线442。
图25A到25B展现可对于所关注参数的基于图像的测量有利的九单元度量目标。
图26描绘各自包含图25A到B中描绘的九单元度量目标的实例的若干个测量位点的所模拟图像445。
图27A到C描绘分别图解说明指示针对与分别以637纳米、523纳米及467纳米进行的测量相关联的测量数据,y轴上的实际焦点误差以及x轴上的对应预测焦点误差的模拟结果的曲线446到448。
图28A到C描绘分别图解说明指示针对与分别以637纳米、523纳米及467纳米进行的测量相关联的测量数据,y轴上的实际剂量误差以及x轴的对应预测剂量误差的模拟结果的曲线449到451。
图29描绘指示针对与以467纳米、523纳米及467纳米进行的测量相关联的经组合测量数据,y轴上的实际焦点误差以及x轴上的对应预测焦点误差的模拟结果的曲线452。
图30描绘指示针对与以467纳米、523纳米及467纳米进行的测量相关联的经组合测量数据,y轴上的实际剂量误差以及x轴上的对应预测剂量误差的模拟结果的曲线453。
图31图解说明用于根据本文中呈现的示范性方法400及410执行对所关注参数的基于图像的测量的系统500。
具体实施方式
现将详细参考本发明的背景实例及一些实施例,在附图中图解说明本发明的实例。
本文中呈现用于测量通过连续光刻工艺而形成于衬底上的结构之间的叠对误差的方法及系统。基于零级散射术信号而测量叠对误差。在一个方面中,仅采用两个叠对目标来执行叠对测量。以两个不同方位角从每一目标收集散射术数据以产生对称信号。使用对称信号来确定叠对误差。
图1描绘安置于待测量的样品10上的叠对目标100及110。叠对目标100包含彼此偏移叠对距离OVERLAY1的两个光栅结构101及105。在于图1中描绘的实施例中,光栅结构105安置于顶部(即,经暴露)层上,且光栅结构101安置于顶部层下面(即,经掩埋)的层中。介入层102到104分离光栅结构101与光栅结构105。类似地,叠对目标110包含彼此偏移叠对距离OVERLAY2的两个光栅结构111及115。在于图1中描绘的实施例中,光栅结构115安置于顶部(即,经暴露)层上,且光栅结构111安置于顶部层下面(即,经掩埋)的层中。介入层112到114分离光栅结构111与光栅结构115。
在一个方面中,叠对目标100及110各自包含沿彼此相反的方向的经编程叠对偏移。如在图1中所描绘,光栅结构105相对于光栅结构101偏移总叠对距离OVERLAY1。此距离包含经编程叠对距离d与将被测量的叠对距离。如在图1中所描绘,经编程叠对沿-X方向延伸。因此,参考方程式(1)描述光栅结构105与光栅结构101之间的实际叠对OVERLAY1
OVERLAY1=OVERLAY-d (1)
光栅结构115相对于光栅结构111偏移总叠对距离OVERLAY2。此距离包含经编程叠对距离d与将被测量的叠对距离。如在图1中所描绘,经编程叠对沿+X方向延伸,此与叠对目标100的方向相反。因此,参考方程式(2)描述光栅结构115与光栅结构111之间的实际叠对OVERLAY2
OVERLAY2=OVERLAY+d (2)
图4描绘多层叠对目标130,其包含衬底131、光栅结构132、填充层133及沿x方向从第一经图案化结构132空间上偏移的另一光栅结构134。经图案化结构132及134两者的间距均是距离P。在大多数情形中,对叠对误差的敏感性在不存在叠对时处于其最小值。在所描绘的实施例中,经图案化结构134从经图案化结构132偏移经编程叠对偏移距离d以增加测量敏感性。在于图4中描绘的实施例中,叠对误差是经图案化结构134相对于其经编程叠对偏移的位移。
多种不同度量目标可预期在本发明的范围内。在一些实施例中,度量目标基于常规线/空间目标。在一些其它实施例中,度量目标是装置式结构。在一些其它实施例中,度量目标是实际装置本身,因此不采用特定化度量目标。不管所采用的度量目标的类型如何,必须提供具有沿相反方向的偏移的一组叠对目标来如本文中描述地执行对两个目标的叠对测量。
在一些实例中,叠对目标位于生产晶片的刻划线中。在一些其它实例中,叠对目标位于作用裸片区中。在一些实施例中,在实际装置的周期性区中(例如,在10mm×10mm区中)使用小光点SE执行测量。
在一些实施例中,提供具有沿x方向及y方向两者的偏移图案的光栅目标。举例来说,图5描绘具有如参考图4所描述的两个偏移光栅结构的叠对目标140。在于图5中描绘的实施例中,光栅结构沿x方向偏移。图6描绘具有如参考图4所描述的两个偏移光栅结构的叠对目标150。在于图6中描绘的实施例中,光栅结构沿y方向偏移。
在一些实施例中,在每一裸片中采用沿相反且正交方向偏移的多个不同目标。此可有利于最小化下层对测量准确性的影响。
在另一方面中,以两个不同方位角从叠对目标100及110收集散射术信号(例如,光谱)。在于图1中描绘的实施例中,以45度的方位角从叠对目标100收集散射术信号106,且以225度的方位角从叠对目标100收集散射术信号107。类似地,以45度的方位角从叠对目标110收集散射术信号116,且以225度的方位角从叠对目标110收集散射术信号117。
图8描绘包含与以225度的方位角对叠对目标100的测量相关联的经收集散射术信号171以及与以45度的方位角对叠对目标100的测量相关联的散射术信号172的曲线170。图9描绘仅是散射术信号172与散射术信号171之间的差的差分信号181的曲线180。如在图8中所图解说明,来自特定目标的以方位角45°及225°收集的散射术信号是对称的。如在图9中所图解说明,这些信号之间的差近似正弦波形。在波形的中心周围的区域中,差分信号是大致线性的。假定小工艺窗口,通过线性区域中的两个点而估计叠对。此两个点(例如,两个不同差分信号)是从收集自各自具有不同经编程叠对偏移的两个不同目标(例如,叠对目标100及110)的数据导出。在参考图1描述的实施例中,叠对目标100包含沿与叠对目标110的相同经编程偏移d的方向相反的方向的经编程偏移d。依据散射术信号106及107计算差分信号DA,如参考图8及9所描述。类似地,依据散射术信号116及117计算差分信号DB。基于分别与叠对目标100及110相关联的差分信号DA及DB,如由方程式(3)所描述地计算未知叠对。
通过非限制性实例方式提供参考图1描述的测量情景及参考图8及9描述的所得信号。一般来说,偏移距离可不同,且可通过一般数学函数近似得出测量响应。类似地,还可由一般数学函数描述叠对与差分信号之间的关系。
另外,参考图1描述的测量情景涉及沿一个维度(即,x方向)的偏移。然而,一般来说,可在两个维度(例如,x方向及y方向)中编程叠对。
在前述实例中,从叠对目标收集的散射术信号包含单个波长。然而,一般来说,跨不同波长的范围收集散射术信号以改善测量敏感性。在另一方面中,前文描述的叠对测量技术适用于包含多个波长的散射术信号。
在一个实例中,如前文中描述地在每一波长下计算差分信号,且将所得的差分信号求和以得出与每一叠对目标相关联的经求和差分信号。经求和差分信号用以如由方程式(3)描述地计算未知叠对,其中DA及DB是与两个目标相关联的经求和差分信号。图10描绘与以45度及225度方位角以及多个波长进行的对叠对目标100的测量相关联的经求和差分信号的曲线190。
在另一实例中,如前文中描述地在不同波长下计算差分信号,且在不同波长上以不同权重将所得的差分信号求和。在一个实例中,基于具有已知叠对的一组目标的差分信号的主分量与正弦函数的线性拟合而确定权重。
在一些实施例中,在半导体晶片(例如,实验设计(DOE)晶片)的表面上的DOE图案中组织叠对的变化形式。以此方式,测量位点询问晶片表面上的与不同叠对值对应的不同位置。在一个实例中,DOE图案是叠对误差图案。通常,展现叠对误差图案的DOE晶片包含测量位点的网格图案。沿一个网格方向(例如,x方向),叠对沿x方向变化,而沿y方向的叠对保持恒定。沿正交网格方向(例如,y方向),沿y方向的叠对误差变化,而沿x方向的叠对误差保持恒定。以此方式,从DOE晶片收集的散射术数据包含与沿x方向及y方向两者的叠对的已知变化相关联的数据。图7描绘具有展现叠对误差的已知变化的目标(例如,目标161)的网格的DOE晶片160。x方向叠对误差随DOE晶片160上的沿x方向的位置而变化。y方向叠对误差随DOE晶片160上的沿y方向的位置而变化。在一些实例中,x叠对误差及y叠对误差是在介于从-20纳米到20纳米的范围内。在一些其它实例中,x叠对误差及y叠对误差是在介于从-80纳米到80纳米的范围内。一般来说,任何叠对误差范围可预期在本专利文件的范围内。
在以每一波长设定的DOE测量中,针对训练目标中的每一者收集差分散射术信号。基于数学变换从每一差分信号集提取若干个主特征。所述变换将来自原始测量空间的原始信号映射到其中可由缩减信号集(例如,主坐标)准确地表示测量数据的另一数学域。基于训练数据中的叠对变化而确定所述变换本身。将每一所测量信号视为针对训练数据集中的不同叠对测量而改变的原始信号。所述变换可应用于所有差分信号,或差分信号的子集。在一些实例中,随机选择经受分析的差分信号。在一些其它实例中,归因于经受分析的差分信号对叠对改变的相对高敏感性而选择所述差分信号。举例来说,可忽略对叠对改变不敏感的信号。
通过非限制性实例的方式,所述变换可使用以下各项中的任一者而实现:主分量分析(PCA)模型、核心PCA模型、非线性PCA模型、独立分量分析(ICA)模型或使用字典的其它维数缩减方法、离散余弦变换(DCT)模型、快速傅里叶变换(FFT)模型、小波模型等。
对于给定训练数据,所测量目标的差分信号的主分量用以将线性模型拟合到叠对的正弦函数。正弦函数具有等于光栅目标的间距的周期以及零相位,如在方程式(4)中所图解说明。
PCi是主分量,ε是零均值高斯噪声,且ai是从训练数据(即,已知叠对值)学习的线性模型系数。图11描绘图解说明根据方程式(4)的与关联于DOE测量的差分信号的主分量拟合的正弦函数的曲线200。在拟合之后,基于方程式(5),基于在不同波长下计算的差分信号的主分量计算叠对。
通过非限制性实例的方式提供前文描述的正弦模型。一般来说,偏移距离可不同,且可通过一般数学函数近似得出测量响应。类似地,主分量可拟合到任何适合数学函数。
在许多实例中,对叠对的测量受非叠对相关不对称性影响。这些不对称性中的一些不对称性是由应用于叠对目标的顶部光栅或底部光栅的工艺引起。彼此接近地定位的结构受相同工艺类似地影响,且因此所述结构共享关于工艺诱发的结构变化的相同信息。
在另一方面中,额外度量目标连同本文中描述的叠对目标一起使用以减小对结构不对称性的测量敏感性。实际上,从这些额外度量目标收集的测量数据用以将不对称性对叠对测量的影响解除相关。
在一个实例中,以不同方位角从叠对目标收集散射术数据,如参考图1所描述。然而,另外,以相同方位角从额外度量目标收集散射术数据。度量目标不包含叠对信息,但其确实包含展现与叠对目标相同的工艺诱发的不对称性的底部光栅结构。
图12描绘包含具有工艺诱发的不对称性的底部光栅特征211以及顶部光栅特征212的叠对目标210。叠对目标210是如参考图1描述的两目标差分测量中涉及的叠对目标中的一者。在于图12中描绘的实例中,度量目标220经安置成接近于叠对目标210,且因此经受类似的工艺诱发的变化。度量目标220包含具有与叠对目标210的底部光栅特征211相同的工艺诱发的不对称性的底部光栅特征221。然而,度量目标220的层222不包含顶部光栅结构。因此,度量目标220不包含任何叠对信息。
在一或多个半导体晶片(例如,实验设计(DOE)晶片)的表面上的DOE图案中组织诱发结构不对称性的工艺诱发的变化。以此方式,测量位点询问晶片表面上的与不同工艺参数值对应的不同位置。
在以每一方位角设定的DOE测量中,针对度量目标中的每一者(例如,度量目标220)及叠对目标中的每一者在各个测量位点处收集散射术信号。基于数学变换从每一散射术信号集提取若干个主特征。所述变换将来自原始测量空间中的度量目标的原始信号S(M1)映射到其中由缩减信号集(例如,主坐标)准确地表示测量数据的另一数学域S’(M1)。变换F1将度量目标的原始测量映射到主分量集,如在方程式(6)中所图解说明。
F1:S(M1)→S′(M1) (6)
相同变换F1用以将来自原始测量空间中的叠对目标中的一或多者的原始信号S(O)映射到相同数学域S’(O)。变换F1将度量目标的原始测量映射到主分量集,如在方程式(7)中所图解说明。
F1:S(O)→S′(O) (7)
通过线性回归将度量目标的主分量S’(M1)拟合到叠对目标的信号S’(O)。此有效地减去在目标间每一方位角的共同信息,即,工艺诱发的不对称性。剩余的残差信息S*(O)包含不在目标间共享的叠对误差信息。方程式(8)图解说明度量目标的散射术信号到叠对目标的信号的线性拟合。
因此,S*(O)包含关于叠对的信息,而包含底部光栅的不对称性的下层变化的效应被减少。针对每一方位角的所得的叠对信号S*(O)用以计算差分信号,如前文所描述。
在另一实例中,以不同方位角从叠对目标收集散射术数据,如参考图1所描述。然而,另外,以相同方位角从额外度量目标收集散射术数据。度量目标不包含叠对信息,但其确实包含展现与叠对目标相同的工艺诱发的不对称性的顶部光栅结构。
图13描绘包含底部光栅特征211及具有工艺诱发的不对称性的顶部光栅特征212的叠对目标210。叠对目标210是如参考图1描述的两目标差分测量中涉及的叠对目标中的一者。在于图13中描绘的实例中,度量目标230经安置成接近于叠对目标210,且因此经受类似的工艺诱发的变化。度量目标230包含具有与叠对目标210的顶部光栅特征212相同的工艺诱发的不对称性的顶部光栅特征231。然而,度量目标220不包含任何叠对信息。
在一或多个半导体晶片(例如,实验设计(DOE)晶片)的表面上的DOE图案中组织诱发结构不对称性的工艺诱发的变化。以此方式,测量位点询问晶片表面上的与不同工艺参数值对应的不同位置。
在以每一方位角设定的DOE测量中,针对度量目标中的每一者(例如,度量目标220)及叠对目标在各个测量位点处收集散射术信号。基于数学变换从每一散射术信号集提取若干个主特征。所述变换将来自原始测量空间中的度量目标的原始信号S(M2)映射到其中由缩减信号集(例如,主坐标)准确地表示测量数据的另一数学域S’(M2)。变换F2将度量目标的原始测量映射到主分量集,如在方程式(9)中所图解说明。
F2:S(M2→S′(M2) (9)
相同变换F2用以将来自原始测量空间中的叠对目标中的一或多者的原始信号S(O)映射到相同数学域S’(O)。变换F2将度量目标的原始测量映射到主分量集,如在方程式(10)中所图解说明。
F2:S(O)→S′(O) (10)
通过线性回归将度量目标的主分量S’(M2)拟合到叠对目标的信号S’(O)。此有效地减去在目标间共享的共同信息,即,工艺诱发的不对称性。剩余的残差信息S*(O)包含不在目标间共享的叠对误差信息。方程式(11)图解说明度量目标的散射术信号到叠对目标的信号的线性拟合。
因此,S*(O)包含关于叠对的信息,而包含顶部光栅的不对称性的下层变化的效应被减少。针对每一方位角的所得的叠对信号S*(O)用以计算差分信号,如前文所描述。
在另一实例中,以不同方位角从叠对目标收集散射术数据,如参考图1所描述。然而,另外,以相同方位角从两个额外度量目标收集散射术数据。度量目标不包含叠对信息,但其包含分别展现与叠对目标相同的工艺诱发的不对称性的顶部光栅结构及底部光栅结构。
以此方式,一个度量目标与叠对目标共享关于底部光栅不对称性的信息,且另一度量目标与叠对目标共享关于顶部光栅不对称性的信息。此外,所有三个目标共享关于其它工艺诱发的变化的信息。
图14描绘包含具有如前文所描述的工艺诱发的不对称性的底部光栅特征211及顶部光栅特征212的叠对目标210。在于图14中描绘的实例中,度量目标220及230经安置成接近于叠对目标210,且因此经受类似的工艺诱发的变化。度量目标220包含具有与叠对目标210的底部光栅特征相同的工艺诱发的不对称性的底部光栅特征。度量目标230包含具有与叠对目标210的顶部光栅特征相同的工艺诱发的不对称性的顶部光栅特征。然而,两个度量目标均不包含任何叠对信息。在此实例中,关于图12及13描述的不对称性减小计算可以任何次序或一起应用,以得出针对每一方位角具有经减小工艺诱发的不对称性的叠对信号。这些信号随后用以计算差分信号及叠对,如前文所描述。
在另一方面中,用于基于多个波长且利用对工艺诱发的不对称性的经减小敏感性而估计叠对的方法及系统经组合以改善叠对测量准确性。
图3图解说明用于根据本文中所呈现的示范性方法测量样品的特性的系统300。如图3中所展示,系统300可用于执行对样品301的一或多个结构的光谱椭偏术测量。在此方面中,系统300可包含配备有照射器302及光谱仪304的光谱椭偏计。系统300的照射器302经配置以产生选定波长范围(例如,100nm到2500nm)的照射且将所述照射引导到安置于样品301的表面上的结构。继而,光谱仪304经配置以接收从样品301的表面所反射的照射。进一步注意到,从照射器302发出的光使用偏振状态产生器307而偏振以产生经偏振照射光束306。由安置于样品301上的结构所反射的辐射通过偏振状态分析仪309且到达光谱仪304。关于偏振状态而分析由光谱仪304在收集光束308中所接收的辐射,从而允许所述光谱仪对经过分析仪的辐射进行光谱分析。这些光谱311被传递到计算系统330以用于对结构的分析。
如在图3中所描绘,系统300包含单一种测量技术(即,SE)。然而,一般来说,系统300可包含任何数目个不同测量技术。通过非限制性实例的方式,系统300可经配置为光谱椭偏计(包含密勒矩阵椭偏术)、光谱反射计、光谱散射计、叠对散射计、角分辨光束轮廓反射计、偏振分辨光束轮廓反射计、光束轮廓反射计、光束轮廓椭偏计、任何单个或多个波长椭偏计,或其任何组合。此外,一般来说,可从多个工具而非集成多种技术的一个工具收集通过不同测量技术收集且根据本文中描述的方法分析的测量数据。
在另一实施例中,系统300可包含用以根据本文中描述的方法执行叠对测量的一或多个计算系统330。一或多个计算系统330可通信地耦合到光谱仪304。在一个方面中,一或多个计算系统330经配置以接收与样品301的结构的测量相关联的测量数据311。
应认识到,可通过单计算机系统330或(替代地)多计算机系统330来执行本发明通篇中所描述的各个步骤。此外,系统300的不同子系统(例如光谱椭偏计304)可包含适于执行本文中所描述的步骤的至少一部分的计算机系统。因此,前述描述不应解释为对本发明的限制而仅为图解说明。此外,一或多个计算系统330可经配置以执行本文中所描述的方法实施例中的任一者的任何其它步骤。
另外,计算机系统330可以此项技术中已知的任何方式可通信地耦合到光谱仪304。举例来说,一或多个计算系统330可耦合到与光谱仪304相关联的计算系统。在另一实例中,光谱仪304可直接由耦合到计算机系统330的单计算机系统控制。
度量系统300的计算机系统330可经配置以通过可包含有线及/或无线部分的传输媒体而接收及/或获取来自系统的子系统(例如,光谱仪304等)的数据或信息。以此方式,传输媒体可用作计算机系统330与系统300的其它子系统之间的数据链路。
计算机系统330可经配置以通过可包含有线及/或无线部分的传输媒体而接收及/或获取来自其它系统的数据或信息(例如,测量结果、模型化输入、模型化结果等)。以此方式,传输媒体可用作计算机系统330与其它系统(例如,存储器板上度量系统300、外部存储器,或其它外部系统)之间的数据链路。举例来说,计算系统330可经配置以经由数据链路而接收来自存储媒体(即,存储器332或外部存储器)的测量数据。例如,使用光谱仪304获得的光谱结果可存储于永久性或半永久性存储器装置(例如,存储器332或外部存储器)中。就此来说,可从板上存储器或从外部存储器系统导入光谱结果。此外,计算机系统330可经由传输媒体而将数据发送到其它系统。例如,可传达由计算机系统330确定的叠对值并将其存储于外部存储器中。就此来说,测量结果可导出到另一系统。
计算系统330可包含但不限于:个人计算机系统、大型计算机系统、工作站、图像计算机、并行处理器,或此项技术中已知的任何其它装置。一般来说,术语“计算系统”可广义定义为涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
可经由传输媒体(例如电线、电缆,或无线传输链路)传输实施例如本文中描述的那些方法的方法的程序指令334。举例来说,如在图3中所图解说明,存储于存储器332中的程序指令334经由总线333传输到处理器331。程序指令334存储于计算机可读取媒体(例如,存储器332)中。示范性计算机可读取媒体包含只读存储器、随机存取存储器、磁盘或光盘,或磁带。
图2图解说明适于通过度量系统(例如本发明的图3中图解说明的度量系统300)实施的方法120。在一个方面中,认识到,可经由由计算系统330或任何其它通用计算系统的一或多个处理器执行的预编程算法执行方法120的数据处理框。本文中认识到,度量系统300的特定结构方面不表示限制性且应解释为仅是说明性的。
在框121中,将具有位于第一层中的第一光栅结构及位于后续层中的第二光栅结构的第一叠对目标提供到度量系统300。第二光栅结构沿第一方向从第一光栅结构偏移已知偏移距离。
在框122中,将具有位于第一层中的第一光栅结构及位于后续层中的第二光栅结构的第二叠对目标提供到度量系统300。第二光栅结构沿与第一方向相反的第二方向偏移已知偏移距离。
在框123中,由计算系统330接收与以第一方位角对第一叠对目标进行的测量相关联的第一量的散射术数据以及与从第二方位角对第一叠对目标进行的测量相关联的第二量的散射术数据。
在框124中,基于第一量的散射术数据与第二量的散射术数据之间的差而确定第一叠对目标的第一差分测量信号。
在框125中,由计算系统330接收与以第一方位角对第二叠对目标进行的测量相关联的第三量的散射术数据以及与从第二方位角对第二叠对目标进行的测量相关联的第四量的散射术数据。
在框126中,基于第三量的散射术数据与第四量的散射术数据之间的差而确定第二叠对目标的第二差分测量信号。
在框127中,至少部分地基于第一差分测量信号及第二差分测量信号而确定第一叠对目标及第二叠对目标的第一光栅结构与第一叠对目标及第二叠对目标的第二光栅结构之间的叠对误差。
在另一方面中,收集从通过多种不同测量技术的组合执行的测量导出的测量数据以用于叠对测量。与多种不同测量技术相关联的测量数据的使用增加经组合信号集中的信息含量且减小与工艺或其它参数变化的叠对相关性。测量数据可从通过多种不同测量技术的任何组合执行的测量导出。以此方式,可通过多种不同测量技术(例如,光学SE、光学SR、2D-BPR等)测量不同测量位点以增加可用于估计叠对误差的测量信息。
一般来说,任何测量技术或者两种或两种以上测量技术的组合可预期在本专利文件的范围内,这是因为测量数据呈向量形式。由于如本文中描述的技术对数据向量起作用,因此可串接来自多个不同度量的数据,而不管所述数据是二维数据、一维数据或甚至单点数据。
可提供数据以用于根据本文中描述的技术的分析的示范性测量技术包含但不限于:光谱椭偏术(包含密勒矩阵椭偏术)、光谱反射术、光谱散射术、散射术叠对、光束轮廓反射术、角分辨及偏振分辨两者、光束轮廓椭偏术、单个或多个离散波长椭偏术、透射小角度x射线散射计(TSAXS)、小角度x射线散射(SAXS)、掠入射小角度x射线散射(GISAXS)、广角度x射线散射(WAXS)、x射线反射率(XRR)、x射线衍射(XRD)、掠入射x射线衍射(GIXRD)、高分辨率x射线衍射(HRXRD)、x射线光电子光谱法(XPS)、x射线荧光(XRF)、掠入射x射线荧光(GIXRF)、x射线断层摄影术,以及x射线椭偏术。一般来说,可预期个别地或以任何组合适用于半导体结构的叠对的表征的任何度量技术(包含基于图像的度量技术)。
在另一方面中,通过多种度量测量的信号可经处理以减小对工艺变化的敏感性且增加对叠对的敏感性。在一些实例中,将来自通过不同度量测量的目标的信号彼此相减。在一些其它实例中,将来自通过不同度量测量的目标的信号拟合到模型,且使用残差来估计如本文中描述的叠对。在一个实例中,减去来自通过两种不同度量测量的目标的信号,以消除或显著地减少每一测量结果中的工艺噪声的效应。一般来说,可在通过不同度量测量的信号之间应用各种数学运算,以确定具有对工艺变化的经减小敏感性及对所关注参数的经增加敏感性的信号。
一般来说,来自各自通过多种度量技术测量的多个目标的信号增加经组合信号集中的信息含量且减小与工艺或其它参数变化的叠对相关性。
在另一方面中,用以执行如本文中描述的叠对测量的度量系统(例如,度量系统300)包含红外光学测量系统。在这些实施例中,度量系统300包含红外光源(例如,弧光灯、无电极灯、激光维持等离子体(LSP)源,或超连续谱源)。红外超连续谱激光源由于在光谱的红外区域中的较高可用功率及亮度而优于传统灯源。在一些实例中,由连续谱激光提供的功率实现对具有不透明膜层的叠对结构的测量。
叠对测量中的潜在问题是光不足以穿透到底部光栅。在许多实例中,在顶部光栅与底部光栅之间存在非透明(即,不透明)膜层。此类不透明膜层的实例包含非晶碳、硅化钨(Wsix)、钨、氮化钛、非晶硅,以及其它金属及非金属层。通常,限于可见范围及可见范围以下(例如,介于250nm与700nm之间)的波长的照射光不穿透到底部光栅。然而,红外光谱及红外光谱以上(例如,大于700nm)的照射光通常更有效地穿透不透明层。
紫外线及可见范围内的“不透明”的操作性定义是250nm到700nm的波长范围内的SCOL的预测精确度远差于所需精确度。此是归因于载运第一图案与第二图案之间的相对位置信息的传播衍射级的衰减。以大于700纳米(例如,800nm到1650nm)的照射波长测量SCOL信号在吸收显著较小时改善SCOL精确度。在其中采用具有大于700纳米的波长的照射光的实施例中,测量目标的设计间距经选择使得存在可用SCOL信号。
本文中还呈现用于仅基于所测量的基于图像的训练数据(例如,从实验设计(DOE)晶片收集的图像)而创建基于图像的测量模型的方法及系统。接着使用经训练的基于图像的测量模型来直接依据从其它晶片收集的所测量图像数据计算一或多个所关注参数的值。通常,针对度量应用与检验应用使用不同测量系统,然而,本文中描述的方法及系统适用于度量应用及检验应用两者。
在一个方面中,本文中描述的经训练的基于图像的测量模型接收图像数据来直接作为输入且提供一或多个所关注参数的值作为输出。通过流线化测量工艺,改善预测性结果以及减少计算及用户时间。
在另一方面中,可依据装置上结构的图像确定所关注参数的值。在一些实施例中,装置上结构的图像用以训练如本文中描述的基于图像的测量模型。接着使用经训练的基于图像的测量模型来直接依据从其它晶片收集的相同装置上结构的图像计算一或多个所关注参数的值。在这些实施例中,避免特定化目标的使用。在一些其它实施例中,使用度量目标,且目标大小可小于10微米×10微米。如果使用度量目标,那么可从单个图像测量多个目标,且度量目标可包含一个结构或至少两个不同结构。
在一些实例中,可在小于一小时后创建基于图像的测量模型。另外,通过采用简化模型,与现有基于图像的度量方法相比减少测量时间。额外模型化细节描述于第2014/0297211号美国专利公开案及第2014/0316730号美国专利公开案中,所述专利各自的标的物以全文引用方式并入本文中。
如本文中描述,通过仅使用原始图像数据来创建基于图像的测量模型,减少与传统基于图像的度量方法相关联的误差及近似值。另外,基于图像的测量模型对系统性误差、不对称性等不敏感,这是因为基于图像的测量模型是基于从特定度量系统收集的图像数据而训练且用于基于从相同度量系统收集的图像而执行测量。
一般来说,本文中描述的方法及系统将每一图像作为一个整体而加以分析。代替辨识图像中的个别特征,每一像素被视为含有关于结构参数、工艺参数、分散参数等(或对结构参数、工艺参数、分散参数等敏感)的信息的个别信号。
图15图解说明适于通过测量系统(例如本发明的图31中图解说明的测量系统500)实施的方法400。在一个方面中,认识到,可经由由计算系统530或任何其它通用计算系统的一或多个处理器执行的预编程算法执行方法400的数据处理框。本文中认识到,测量系统500的特定结构方面不表示限制性且应解释为仅是说明性的。
在框401中,由计算系统530接收第一量的图像数据。第一量的图像数据包含在样品(例如半导体晶片)的表面上构造的若干个测量位点的图像。样品的至少一个所关注参数的值是已知的。在一些实例中,所关注参数基于通过参考度量系统(例如,CD-SEM、TEM、AFM,或其它受信任度量系统)进行的测量而是已知的。在其中模拟图像数据的实例中,所关注参数是已知模拟参数。在一些实例中,可使用电磁模拟引擎(例如严格耦合波分析(RCWA))来模拟图像数据。在一些其它实例中,可使用RCWA及工艺模拟器(例如可从(美国)加利福尼亚州苗必达市KLA-Tencor公司购得)来模拟图像数据。
所关注参数包含一或多个工艺参数、结构参数、分散参数,或布局参数。测量位点中的每一者包含测量位点中的每一者内的相同标称位置处的相同标称结构。在一个实例中,测量位点涵盖跨越晶片表面重复构造的半导体晶片的场区。在一些实例中,测量位点涵盖跨越晶片表面重复构造的裸片区。尽管每一测量位点标称地包含相同结构,但实际上且出于模型训练目的,每一测量位点包含各种参数(例如,CD、侧壁角度、高度、叠对等)的变化。
在一些实例中,第一量的图像数据包含每一测量位点的单个图像。每一测量位点的每一图像包含与每一像素相关联的单个测量信号值。在一个实例中,单个测量值是由成像反射计以一组特定测量系统设定(例如,波长、偏振、入射角、方位角等)测量的每一像素的位置处的反射比。
在一些其它实例中,第一量的图像数据包含相同测量位点的多个图像。每一测量位点的图像中的每一者包含与每一像素相关联的单个测量信号值。因此,针对每一像素测量多个测量信号值。一般来说,通过相同测量系统以不同设定(例如,波长、偏振、入射角、方位角等)、不同测量技术或其组合测量每一测量位点的图像中的每一者。以此方式,可针对每一测量位点的每一像素组合多样测量数据集。一般来说,可从任何基于成像的系统(例如光学成像系统、显微镜、扫描电子显微镜、隧穿电子显微镜,或其它图像形成系统)收集图像数据。
出于模型训练目的,在半导体晶片(例如,实验设计(DOE)晶片)的表面上的DOE图案中组织所关注参数的变化。以此方式,测量位点询问晶片表面上的与所关注参数的不同值对应的不同位置。在一个实例中,DOE图案是焦点曝光矩阵(FEM)图案。通常,展现FEM图案的DOE晶片包含测量位点的网格图案。沿一个网格方向(例如,x方向),焦点变化,而曝光保持恒定。沿正交网格方向(例如,y方向),曝光变化,而焦点保持恒定。以此方式,从DOE晶片收集的图像数据包含与焦点及曝光的已知变化相关联的数据。图17描绘具有包含展现所关注参数(例如,焦点及曝光)的已知变化的结构的测量位点(例如,测量位点421)的网格的DOE晶片420。焦点随DOE晶片420上的沿x方向的位置而变化。曝光随DOE晶片420上的沿y方向的位置而变化。
在一些实施例中,图像包含装置区。测量位点的特定图像的每一像素表示在特定照射及收集条件、波长、偏振等下所收集光的强度。图18描绘晶片420的不同测量位点的图像422到424。每一图像表示测量位点内的装置结构的俯瞰图。测量位点是通过其X及Y坐标识别。
在一些其它实施例中,图像包含经设计以促进对所关注参数的基于图像的测量的特定目标。可采用经特定设计的目标来改善装置表示,最大化对所关注参数(焦点、剂量、CD)的敏感性,以及减小与工艺变化的相关性。
图25描绘个九单元目标443的俯视图。九个单元中的每一者的轮廓视图444描绘于图25B中。编号为1到9的位置中的每一者处的结构具有不同间距/宽度组合。在一个实例中,所测量图像包含使用三个特定波长(637nm、523nm及467nm)测量的强度(例如,反射比)值。
在前述实例中,图像数据与以焦点及曝光(即,剂量)的已知变化处理的DOE晶片相关联。然而,一般来说,可预期与工艺参数、结构参数、分散等的任何已知变化相关联的图像数据。DOE晶片的图像应展现所关注参数的范围且还应展现其它噪声源(例如光学系统误差(例如,相机偏移))的范围。
在任选框402中,将第一多个图像中的每一者与每一测量位点的共同参考位置对准。以此方式,来自每一图像的任何特定像素对应于每一经成像测量位点上的相同位置。在一个实例中,所收集图像经对准使得其匹配所述集的第一图像。图19图解说明与图像422相关联的像素425的网格。在一些实例中,测量系统以高精确度操作,且额外图像对准是不必要的。在此意义上,框402是任选的。
在任选框403中,通过一或多个图像滤波器将在框101中接收的图像中的每一者滤波。图像滤波器可用于噪声减少、对比度增强等。在一个实例中,图像滤波器可用以通过检测边缘且移除或遮蔽边缘及接近区域而减少边缘效应。以此方式,从相对均质装置区域取得后续图像样本。可由用户或通过自动过程选择所采用的图像滤波器。若干个不同图像滤波器以及与每一选定滤波器相关联的参数经选择以在不具有过度计算负担的情况下改善最后测量结果。尽管基于图像的滤波器的使用可为有利的,但一般来说,其是不必要的。在此意义上,框403是任选的。
在任选框404中,选择与第一多个图像中的每一者相关联的像素的子集以用于模型训练及测量。与第一多个图像中的每一者的相同选定像素相关联的测量信号值用于模型训练及测量。
图20描绘经选择用于模型训练及测量的两个不同像素位置。在所描绘的实例中,像素430、432及434分别对应于图像422、423及424上的相同位置。类似地,像素431、433及435分别对应于图像422、423及424上的相同位置。与这些像素中的每一者相关联的测量信号用于模型训练及测量。图21描绘在于图20中图解说明的像素位置处取样的所测量强度(例如,反射比)值的向量436。此所取样图像数据用于模型训练及测量。在于图21中描绘的实例中,1I(I1,J1)是与图像422的像素430相关联的强度值,2I(I1,J1)是与图像423的像素432相关联的强度值,且NI(I1,J1)是与图像424的像素434相关联的强度值。类似地,1I(I2,J2)是与图像422的像素431相关联的强度值,2I(I2,J2)是与图像423的像素432相关联的强度值,且NI(I2,J2)是与图像424的像素435相关联的强度值。以此方式,向量436包含来自每一经成像测量位点的相同位置处的像素的强度测量信号。
在一些实例中,随机选择像素位置。在一些其它实例中,像素位置是基于其测量敏感性而被选择。在一个实例中,依据图像集合计算与每一像素位置相关联的测量信号值的方差。与每一像素位置相关联的方差是表征每一对应像素位置处的测量敏感性的度量。具有相对高方差的像素位置提供较高测量敏感性且经选择以用于进一步分析。具有相对低方差的像素位置提供较低测量敏感性且被丢弃。在一些实例中,选择方差的预定阈值,且选择具有超过预定阈值的方差的像素位置用于模型训练及测量。以此方式,仅对最敏感位置取样。在一些实例中,选择与第一多个图像中的每一者相关联的所有像素用于模型训练及测量。在此意义上,框404是任选的。
在框405中,基于选定图像数据确定特征提取模型。特征提取模型缩减图像数据的维度。特征提取模型将原始信号映射到新的缩减信号集。基于选定图像中的所关注参数的变化而确定变换。每一图像的每一像素经处理为在不同图像的工艺范围内改变的原始信号。特征提取模型可应用于所有图像像素,或图像像素子集。在一些实例中,随机选择经受通过特征提取模型的分析的像素。在一些其它实例中,经受通过特征提取模型的分析的像素归因于其对所关注参数的改变的相对高敏感性而被选择。举例来说,可忽略对所关注参数的改变不敏感的像素。
通过非限制性实例的方式,特征提取模型可为主分量分析(PCA)模型、核心PCA模型、非线性PCA模型、独立分量分析(ICA)模型或使用字典的其它维数缩减方法、离散余弦变换(DCT)模型、快速傅里叶变换(FFT)模型、小波模型等。
图22图解说明晶片420的图像的单个主分量的值的等值线图440。如所图解说明,此主分量指示跨越图像数据集的系统性行为(即,焦点改变)的存在。在此实例中,利用此主分量来训练对焦点敏感的基于图像的测量模型是优选的。一般来说,出于模型建立以及后续基于图像的测量分析目的而截取主要反映噪声的主分量。
在典型实验设计中,晶片上的位置经编程以具有特定几何学参数值及工艺参数值(例如,焦点、剂量、叠对、CD、SWA、Ht等)。因而,主分量表示允许映射随整个晶片上的工艺参数而变的一或多个信号表示。图案的本质捕获装置的基本性质,而不论所述装置是包含隔离特征还是密集特征。
在框406中,基于从多个图像提取的特征及至少一个所关注参数的已知值而训练基于图像的测量模型。基于图像的测量模型经构成以接收由度量系统于一或多个测量位点处产生的图像数据,且直接确定与每一测量目标相关联的所关注参数。在一些实施例中,基于图像的测量模型实施为神经网络模型。在一个实例中,基于从图像数据提取的特征选择神经网络的节点的数目。在其它实例中,基于图像的测量模型可实施为线性模型、多项式模型、响应表面模型、支持向量机模型,或其它类型的模型。在一些实例中,基于图像的测量模型可实施为模型组合。基于从特征提取模型确定的缩减信号集以及所关注参数的已知变化而训练选定模型。模型经训练使得其输出拟合由DOE图像定义的参数变化空间中的所有图像的所关注参数的经定义变化。
在另一方面中,经训练模型用作用于对其它晶片的测量的测量模型。图16图解说明适于通过度量系统(例如本发明的图31中图解说明的度量系统500)实施的方法410。在一个方面中,认识到,可经由由计算系统530或任何其它通用计算系统的一或多个处理器执行的预编程算法执行方法410的数据处理框。本文中认识到,度量系统500的特定结构方面不表示限制性且应解释为仅是说明性的。
在框411中,通过计算系统(例如,计算系统530)接收与半导体晶片的表面上的多个位点的图像相关联的量的图像数据。所述图像数据是从由相同度量技术或如参考方法400描述的度量技术的组合执行的测量导出。测量信号值与所接收图像中的每一者的每一像素相关联。图像数据包含与参考方法400所描述的结构类型相同但具有一或多个所关注参数的未知值的结构的图像。
图像数据经受与参考方法400的框402、403及404所描述的相同的对准、滤波、及取样步骤。尽管这些步骤中的任何或所有步骤的使用可是有利的,但一般来说,其是不必要的。在此意义上,这些步骤是任选的。
在框412中,从所述量的图像数据的至少部分提取图像特征。通过应用用于模型训练的相同特征提取模型而从图像数据提取特征是优选的。(例如,参考方法400的步骤405描述的特征提取模型)。以此方式,通过用以缩减训练数据的维度的相同特征提取模型而执行所获取图像数据的维数缩减。
在框413中,基于所提取图像特征到经训练的基于图像的测量模型(例如,参考方法400描述的经训练的基于图像的测量模型)的拟合而确定与多个测量位点中的每一者相关联的至少一个所关注参数的值。以此方式,基于经训练的基于图像的测量模型及缩减图像信号集而确定所关注参数。
在框414中,将所关注参数的经确定值存储于存储器中。举例来说,参数值可存储于板上测量系统500上(举例来说,存储器532中),或可传达(例如,经由输出信号540)到外部存储器装置。
在一些实例中,经训练的基于图像的测量模型的测量性能是通过使用所述模型来测量不作为训练数据集的部分参与但具有所关注参数的已知值的图像集而确定。预期叠对与所测量叠对之间的差指示模型性能。
图23描绘指示y轴上的实际焦点误差(即,已知焦点误差值)以及x轴上的对应预测焦点误差(即,如由经训练的基于图像的测量模型所测量)的模拟结果的曲线441。曲线441中描绘的结果包含对参与训练集的图像的测量以及对不参与训练集的图像的测量。如在图23中所描绘,所模拟的测量结果严格地与对应已知值分组。
图24描绘指示与图23中描绘的每一测量点相关联的残差焦点误差值的曲线442。残差焦点误差值是实际焦点误差值与预测焦点误差值之间的差。
如前文所描述,本文中描述的测量方法及系统不局限于特定化目标。一般来说,可根据本文中描述的方法及系统采用在由可用成像系统进行成像时展现对所关注参数的敏感性的任何目标。
然而,在一些实例中,采用在由可用成像系统进行成像时展现对所关注参数的高敏感性的特定化测量目标以增强基于图像的测量性能可是有利的。举例来说,当信号响应度量应用于对如本文中描述的叠对误差的测量时,最大化归因于沿x方向及y方向的叠对误差改变而改变的像素的数目可是所要的。
图25A到25B展现可对于所关注参数的基于图像的测量有利的九单元度量目标。
图26描绘各自包含图25A到B中描绘的九单元度量目标的一个实例的若干测量位点的所模拟图像445。每一九单元目标取决于其在图像445中的位置而经受不同焦点及剂量条件。因此,所模拟图像445内的每一九单元图像对应于焦点与剂量的特定组合下的九单元目标的图像。如在图26中所图解说明,对应于每一特定装置结构的强度随焦点及剂量而不同地演进。
继方法400的步骤之后,处理这些图像以训练线性的基于图像的测量模型。由于所模拟测量位点是小的,因此对所有像素取样以构造强度向量。此形成针对13个剂量及11个焦点值的范围收集的测量信号的9元素(3×3)向量。
利用637纳米的波长测量所模拟图像445。然而,另外,利用523纳米及467纳米下的照射光测量同测量位点集合。
图27A描绘指示y轴上的实际焦点误差(即,已知焦点误差值)以及x轴上的对应预测焦点误差(即,如由经训练的基于图像的测量模型所测量)的模拟结果的曲线446。曲线446中描绘的结果包含对参与训练集的图像的测量以及对不参与训练集的图像的测量。在此实例中,与637纳米下的测量相关联的测量数据用于模型训练及测量。
图27B描绘指示y轴上的实际焦点误差(即,已知焦点误差值)以及x轴上的对应预测焦点误差(即,如由经训练的基于图像的测量模型所测量)的模拟结果的曲线447。曲线447中描绘的结果包含对参与训练集的图像的测量以及对不参与训练集的图像的测量。在此实例中,与523纳米下的测量相关联的测量数据用于模型训练及测量。
图27C描绘指示y轴上的实际焦点误差(即,已知焦点误差值)以及x轴上的对应预测焦点误差(即,如由经训练的基于图像的测量模型所测量)的模拟结果的曲线448。曲线448中描绘的结果包含对参与训练集的图像的测量以及对不参与训练集的图像的测量。在此实例中,与467纳米下的测量相关联的测量数据用于模型训练及测量。
图28A描绘指示y轴的实际剂量误差(即,已知剂量误差值)以及x轴上的对应预测剂量误差(即,如由经训练的基于图像的测量模型所测量)的模拟结果的曲线449。曲线449中描绘的结果包含对参与训练集的图像的测量以及对不参与训练集的图像的测量。在此实例中,与637纳米下的测量相关联的测量数据用于模型训练及测量。
图28B描绘指示y轴的实际剂量误差(即,已知剂量误差值)以及x轴上的对应预测剂量误差(即,如由经训练的基于图像的测量模型所测量)的模拟结果的曲线450。曲线450中描绘的结果包含对参与训练集的图像的测量以及对不参与训练集的图像的测量。在此实例中,与523纳米下的测量相关联的测量数据用于模型训练及测量。
图28C描绘指示y轴的实际剂量误差(即,已知剂量误差值)以及x轴上的对应预测剂量误差(即,如由经训练的基于图像的测量模型所测量)的模拟结果的曲线451。曲线451中描绘的结果包含对参与训练集的图像的测量以及对不参与训练集的图像的测量。在此实例中,与467纳米下的测量相关联的测量数据用于模型训练及测量。
对于经选择用于分析的每一波长,实际值与预测值之间的布置的R平方值高于统计上可接受极限值0.75。应认识到,每一图像仅包含用于这些实验的九个测量值,因而在低于通常理想情形中实现的统计功效的统计功效下产生这些结果。
在另一实例中,组合多个波长下的测量结果以用于模型训练及测量。图29描绘指示y轴上的实际焦点误差(即,已知焦点误差值)以及x轴上的对应预测焦点误差(即,如由经训练的基于图像的测量模型所测量)的模拟结果的曲线452。曲线452中描绘的结果包含对参与训练集的图像的测量以及对不参与训练集的图像的测量。在此实例中,与467纳米、523纳米及467纳米下的测量相关联的测量数据用于模型训练及测量。图30描绘指示y轴上的实际剂量误差(即,已知剂量误差值)以及x轴上的对应预测剂量误差(即,如经训练的基于图像的测量模型所测量)的模拟结果的曲线453。曲线453中描绘的结果包含对参与训练集的图像的测量以及对不参与训练集的图像的测量。在此实例中,与467纳米、523纳米及467纳米下的测量相关联的测量数据用于模型训练及测量。
将图27A到C及28A到C中描绘的结果与图29及30的结果进行比较,显而易见,组合多个波长产生较接近于所关注参数的实际值的预测值。
在另一方面中,用于训练基于图像的测量模型的方法及系统包含优化算法以自动操作得出经训练的基于图像的测量模型所需的任何或所有元素。
在一些实例中,优化算法经配置以通过优化任何或所有以下参数而最大化测量的性能(其由成本函数定义):图像滤波器的列表、滤波器的参数、像素取样、特征提取模型的类型、选定特征提取模型的参数、测量模型的类型、选定测量模型的参数。优化算法可包含用户定义的试探式且可是嵌套优化的组合(例如,组合及连续优化)。
在另一方面中,收集来自不同目标的图像数据以用于模型建立、训练及测量。与具有不同结构但由相同工艺条件形成的多个目标相关联的图像数据的使用增加嵌入于模型中的信息且减小与工艺或其它参数变化的相关性。特定来说,包含一或多个测量位点处的多个不同目标的图像的训练数据的使用实现对所关注参数的值的更准确估计。
在另一方面中,来自多个目标的信号可经处理以减小对工艺变化的敏感性且增加对所关注参数的敏感性。在一些实例中,将不同目标的图像或图像的部分的信号彼此相减。在一些其它实例中,使不同目标的图像或图像部分的信号拟合到模型,且使用残差来建立、训练及使用如本文中描述的基于图像的测量模型。在一个实例中,减去来自两个不同目标的图像信号以消除或显著地减少每一测量结果中的工艺噪声的效应。一般来说,可在来自不同目标图像或目标图像的部分的信号之间应用各种数学运算,以确定具有对工艺变化的经减小敏感性及对所关注参数的经增加敏感性的图像信号。
在另一方面中,收集从由多种不同测量技术的组合执行的测量导出的测量数据以用于模型建立、训练及测量。与多种不同测量技术相关联的测量数据的使用增加经组合信号集中的信息含量且减小与工艺或其它参数变化的相关性。可通过多种不同测量技术(例如,CD-SEM、例如2-D BPR的成像技术、散射术等)测量不同测量位点以增加可用于估计所关注参数的测量信息。
一般来说,任何基于图像的测量技术或两种或两种以上测量技术的组合可预期在本专利文件的范围内,这是因为通过用于训练及测量的特征提取模型及基于图像的测量模型处理的数据呈向量形式。由于如本文中描述的信号响应度量技术对数据向量起作用,因此独立地处理图像数据的每一像素。另外,可串接来自多个不同度量的数据,而不管数据是二维图像数据、一维图像数据或甚至单点数据。
可提供数据以用于根据本文中描述的信号响应度量技术的分析的示范性测量技术包含但不限于:光谱椭偏术(包含密勒矩阵椭偏术)、光谱反射术、光谱散射术、散射术叠对、光束轮廓反射术、角分辨及偏振分辨两者、光束轮廓椭偏术、单个或多个离散波长椭偏术、透射小角度x射线散射计(TSAXS)、小角度x射线散射(SAXS)、掠入射小角度x射线散射(GISAXS)、广角度x射线散射(WAXS)、x射线反射率(XRR)、x射线衍射(XRD)、掠入射x射线衍射(GIXRD)、高分辨率x射线衍射(HRXRD)、x射线光电子光谱法(XPS)、x射线荧光(XRF)、掠入射x射线荧光(GIXRF)、x射线断层摄影术,以及x射线椭偏术。一般来说,可预期个别地或以任何组合适用于半导体结构的表征的任何基于图像的度量技术。
在另一方面中,通过多种度量测量的信号可经处理以减小对工艺变化的敏感性且增加对所关注参数的敏感性。在一些实例中,将通过不同度量测量的目标的图像或图像的部分的信号彼此相减。在一些其它实例中,使通过不同度量测量的目标的图像或图像的部分的信号拟合到模型,且使用残差来建立、训练及使用如本文中描述的基于图像的测量模型。在一个实例中,减去来自通过两种不同度量测量的目标的图像信号,以消除或显著地减小每一测量结果中的工艺噪声的效应。一般来说,可在通过不同度量测量的目标图像或目标图像的部分的信号之间应用各种数学运算,以确定具有对工艺变化的经减小敏感性及对所关注参数的经增加敏感性的图像信号。
一般来说,来自各自通过多种度量技术测量的多个目标的图像信号增加经组合信号集中的信息含量且减小与工艺或其它参数变化的叠对相关性。
图31图解说明用于根据本文中呈现的方法400及410测量样品的特性的系统500。如图31中所展示,系统500可用于执行对样品501的一或多个结构的光谱椭偏术测量。在此方面中,系统500可包含配备有照射器502及光谱仪504的光谱椭偏计。系统500的照射器502经配置以产生选定波长范围(例如,150nm到850nm)的照射且将所述照射引导到安置于样品501的表面上的结构。继而,光谱仪504经配置以接收从样品501的表面所反射的照射。进一步注意到,从照射器502发出的光使用偏振状态产生器507而偏振以产生经偏振照射光束506。由安置于样品501上的结构所反射的辐射通过偏振状态分析仪509且到光谱仪504。关于偏振状态来分析由光谱仪504在收集光束508中所接收的辐射,从而允许所述光谱仪对经过分析仪的辐射进行光谱分析。这些光谱511经传递到计算系统530供用于对结构的分析。
如在图31中所描绘,系统500包含单种测量技术(即,SE)。然而,一般来说,系统500可包含任何数目个不同测量技术。通过非限制性实例的方式,系统500可经配置为光谱椭偏计(包含密勒矩阵椭偏术)、光谱反射计、光谱散射计、叠对散射计、角分辨光束轮廓反射计、偏振分辨光束轮廓反射计、光束轮廓反射计、光束轮廓椭偏计、任何单个或多个波长椭偏计,或其任何组合。此外,一般来说,可从多个工具而非集成多种技术的一个工具收集通过不同测量技术收集且根据本文中描述的方法分析的测量数据。
在另一实施例中,系统500可包含用于基于根据本文中描述的方法开发的基于图像的测量模型而执行测量的一或多个计算系统530。一或多个计算系统530可通信地耦合到光谱仪504。在一个方面中,一或多个计算系统530经配置以接收与样品501的结构的测量相关联的测量数据511。
应认识到,可通过单计算机系统530或(替代地)多计算机系统530来执行本发明通篇中所描述的各个步骤。此外,系统500的不同子系统(例如光谱椭偏计504)可包含适于执行本文中所描述的步骤的至少一部分的计算机系统。因此,前述描述不应解释为对本发明的限制而仅为图解说明。此外,一或多个计算系统530可经配置以执行本文中所描述的方法实施例中的任一者的任何其它步骤。
另外,计算机系统530可以此项技术中已知的任何方式可通信地耦合到光谱仪504。举例来说,一或多个计算系统530可耦合到与光谱仪504相关联的计算系统。在另一实例中,光谱仪504可直接由耦合到计算机系统530的单计算机系统控制。
度量系统500的计算机系统530可经配置以通过可包含有线及/或无线部分的传输媒体而接收及/或获取来自系统的子系统(例如,光谱仪504等)的数据或信息。以此方式,传输媒体可用作计算机系统530与系统500的其它子系统之间的数据链路。
度量系统500的计算机系统530可经配置以通过可包含有线及/或无线部分的传输媒体而接收及/或获取来自其它系统的数据或信息(例如,测量结果、模型化输入、模型化结果等)。以此方式,传输媒体可用作计算机系统530与其它系统(例如,存储器板上度量系统500、外部存储器,或其它外部系统)之间的数据链路。举例来说,计算系统530可经配置以经由数据链路而接收来自存储媒体(即,存储器532或外部存储器)的测量数据。例如,使用光谱仪504获得的光谱结果可存储于永久性或半永久性存储器装置(例如,存储器532或外部存储器)中。就此来说,可从板上存储器或从外部存储器系统导入光谱结果。此外,计算机系统530可经由传输媒体而将数据发送到其它系统。例如,可传达由计算机系统530确定的经训练测量模型或样品参数540并将其存储于外部存储器中。就此来说,测量结果可导出到另一系统。
计算系统530可包含但不限于:个人计算机系统、大型计算机系统、工作站、图像计算机、并行处理器,或此项技术中已知的任何其它装置。一般来说,术语“计算系统”可广义定义为涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
可经由传输媒体(例如电线、电缆,或无线传输链路)传输实施例如本文中描述的那些方法的方法的程序指令534举例来说,如在图31中所图解说明,存储于存储器532中的程序指令534经由总线533传输到处理器531。程序指令534存储于计算机可读取媒体(例如,存储器532)中。示范性计算机可读取媒体包含只读存储器、随机存取存储器、磁盘或光盘,或磁带。
在另一方面中,本文中描述的测量结果可用以将主动反馈提供到工艺工具(例如,光刻工具、蚀刻工具、沉积工具等)。举例来说,使用本文中描述的方法确定的叠对误差的值可传达到光刻工具以调整光刻系统以实现所要输出。以类似方式,蚀刻参数(例如,蚀刻时间、扩散率等)或沉积参数(例如,时间、浓度等)可包含于测量模型中以将主动反馈分别提供到蚀刻工具或沉积工具。
一般来说,本文中描述的系统及方法可实施为制备用于线外或工具上测量的测量模型的工艺的部分。另外,测量模型可描述一或多个目标结构、装置结构及测量位点。
如本文中所描述,术语“临界尺寸”包含:结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角度、光栅高度等);任何两个或两个以上结构之间的临界尺寸(例如,两个结构之间的距离);及两个或两个以上结构之间的位移(例如,叠对光栅结构之间的叠对位移等)。结构可包含三维结构、经图案化结构、叠对结构等。
如本文中所描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中所描述,术语“度量系统”包含至少部分地用以在任何方面(包含例如临界尺寸度量、叠对度量、焦点/剂量度量以及组合物度量的测量应用)中表征样品的任何系统。然而,这些技术术语不限制如本文中所描述的术语“度量系统”的范围。另外,度量系统100可经配置以用于经图案化晶片及/或未经图案化晶片的测量。度量系统可经配置为LED检验工具、边缘检验工具、背侧检验工具、宏观检验工具或多模式检验工具(涉及同时来自一或多个平台的数据)及受益于基于临界尺寸数据校正系统参数的任何其它度量或检验工具。
本文中描述针对可用于处理样品的半导体处理系统(例如,检验系统或光刻系统)的各种实施例。本文中使用术语“样品”来指代可通过此项技术中已知的手段处理(例如,印刷或检验缺陷)的晶片、光罩或任何其它样本。
如本文中所使用,术语“晶片”通常是指由半导体或非半导体材料形成的衬底。实例包含(但不限于)单晶硅、砷化镓及磷化铟。通常可在半导体制作设施中发现及/或处理这些衬底。在一些情形中,晶片可仅包含衬底(即,裸晶片)。替代地,晶片可包含形成于衬底上的一或多个不同材料层。形成于晶片上的一或多个层可是“经图案化”或“未经图案化”。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可是光罩制作工艺的任何阶段处的光罩或者可或不可经释放以供在半导体制作设施中使用的完整光罩。光罩或“掩模”通常定义为具有形成于其上且配置为图案的基本上不透明区域的基本上透明衬底。举例来说,所述衬底可包含玻璃材料,例如非晶SiO2。光罩可在光刻工艺的曝光步骤期间安置于抗蚀剂覆盖的晶片上面以使得可将光罩上的图案转印到抗蚀剂。
形成于晶片上的一或多个层可经图案化或未经图案化。举例来说,晶片可包含各自具有可重复图案特征的多个裸片。这些材料层的形成及处理可最终产生完整装置。许多不同类型的装置可形成于晶片上,且如本文中所使用的术语晶片打算涵盖在其上制作此项技术中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,可在硬件、软件、固件或其任一组合中实施所描述的功能。如果在软件中实施,那么所述功能可存储于计算机可读取媒体上或作为计算机可读取媒体上的一或多个指令或代码而传输。计算机可读取媒体包含计算机存储媒体及通信媒体两者,通信媒体包含促进将计算机程序从一个地方传送到另一地方的任何媒体。存储媒体可为可由通用或专用计算机存取的任何可用媒体。以实例且非限制方式,此类计算机可读取媒体可包括:RAM、ROM、EEPROM、CD-ROM或其它光盘存储器、磁盘存储装置或其它磁性存储装置,或者可用于以指令或数据结构的形式载运或存储所要程序码构件且可由通用或专用计算机或通用或专用处理器存取的任何其它媒体。此外,可将任何连接适当地称为计算机可读取媒体。举例来说,如果使用同轴电缆、光纤电缆、双绞线、数字订户线(DSL)或无线技术(例如红外、无线电及微波)从网站、服务器或其它远程源传输软件,那么同轴电缆、光纤电缆、双绞线、DSL或无线技术(例如红外、无线电及微波)均包含于媒体的定义中。如本文中所使用的磁盘及光盘包含:光盘(CD)、激光光盘、光学光盘、数位多功能光盘(DVD)、软磁盘及蓝光光盘,其中磁盘通常以磁性方式复制数据,而光盘利用激光以光学方式复制数据。上文的组合也应包含于计算机可读取媒体的范围内。
尽管上文出于指导性目的描述了某些特定实施例,但本专利文件的教示内容具有一般适用性且不限于上文所描述的特定实施例。因此,可在不背离如权利要求书中所陈述的本发明的范围的情况下实践所描述实施例的各种特征的各种修改、更改及组合。

Claims (41)

1.一种方法,其包括:
提供具有位于第一层中的第一光栅结构及位于后续层中的第二光栅结构的第一叠对目标,其中所述第二光栅结构沿第一方向从所述第一光栅结构偏移已知偏移距离;
提供具有位于所述第一层中的第一光栅结构及位于所述后续层中的第二光栅结构的第二叠对目标,其中所述第二光栅结构沿与所述第一方向相反的第二方向偏移所述已知偏移距离;
接收与以第一方位角对所述第一叠对目标进行的测量相关联的第一量的散射术数据以及与从第二方位角对所述第一叠对目标进行的测量相关联的第二量的散射术数据;
基于所述第一量的散射术数据与所述第二量的散射术数据之间的差而确定所述第一叠对目标的第一差分测量信号;
接收与以所述第一方位角对所述第二叠对目标进行的测量相关联的第三量的散射术数据以及与从所述第二方位角对所述第二叠对目标进行的测量相关联的第四量的散射术数据;
基于所述第三量的散射术数据与所述第四量的散射术数据之间的差而确定所述第二叠对目标的第二差分测量信号;及
至少部分地基于所述第一差分测量信号及所述第二差分测量信号而确定所述第一叠对目标及所述第二叠对目标的所述第一光栅结构与所述第一叠对目标及所述第二叠对目标的所述第二光栅结构之间的叠对误差。
2.根据权利要求1所述的方法,其中所述第一量的散射术数据、所述第二量的散射术数据、所述第三量的散射术数据及所述第四量的散射术数据包含以多个照射波长进行的测量。
3.根据权利要求2所述的方法,其中所述第一差分测量信号的所述确定涉及各自针对所述第一叠对目标基于所述多个照射波长中的每一者下的所述第一量的散射术数据与所述第二量的散射术数据之间的差而确定的多个差分测量信号的求和,且其中所述第二差分测量信号的所述确定涉及各自针对所述第二叠对目标基于所述多个照射波长中的每一者下的所述第三量的散射术数据与所述第四量的散射术数据之间的差而确定的多个差分测量信号的求和。
4.根据权利要求2所述的方法,其中所述第一差分测量信号的所述确定涉及各自针对所述第一叠对目标基于所述多个照射波长中的每一者下的所述第一量的散射术数据与所述第二量的散射术数据之间的差而确定的多个差分测量信号的加权求和,且其中所述第二差分测量信号的所述确定涉及各自针对所述第二叠对目标基于所述多个照射波长中的每一者下的所述第三量的散射术数据与所述第四量的散射术数据之间的差而确定的多个差分测量信号的加权求和。
5.根据权利要求4所述的方法,其进一步包括:
提供各自具有位于第一层中的第一光栅结构及位于后续层中的第二光栅结构的多个叠对训练目标,其中所述第一光栅结构中的每一者与所述第二光栅结构中的每一者偏移不同的已知叠对值;
接收与以所述第一方位角对所述叠对训练目标中的每一者进行的测量相关联的第五量的散射术数据以及与从所述第二方位角对所述叠对训练目标中的每一者进行的测量相关联的第六量的散射术数据;
确定多个差分测量信号,所述多个差分测量信号中的每一差分测量信号基于关联于所述叠对训练目标中的每一者的所述第五量的散射术数据的一部分与所述第六量的散射术数据的一部分之间的差;及
基于所述多个差分测量信号中的每一者的主分量的线性组合到所述已知叠对值的函数的拟合而确定所述加权求和的多个加权值。
6.根据权利要求1所述的方法,其进一步包括:
提供具有工艺诱发的不对称性的度量目标;
接收与以所述第一方位角对所述度量目标进行的测量相关联的第五量的散射术数据以及与以所述第二方位角对所述度量目标进行的测量相关联的第六量的散射术数据;
将所述第五量的散射术数据及所述第六量的散射术数据从测量域中的坐标变换到所述度量目标在替代域中的坐标;
将所述第一量的散射术数据及所述第二量的散射术数据从测量域中的坐标变换到所述第一叠对目标在所述替代域中的坐标;
将所述度量目标的主分量的线性模型拟合到所述第一叠对目标的主分量;
确定所述度量目标的所述主分量的所述线性模型到所述第一叠对目标的所述主分量的所述拟合的残差,其中所述第一叠对目标的所述第一差分测量信号的所述确定基于关联于所述第一量的散射术数据的所述残差与关联于所述第二量的散射术数据的所述残差之间的差。
7.根据权利要求6所述的方法,其中所述度量目标包含位于所述第一层中的光栅结构,所述度量目标的所述光栅结构与所述第一叠对目标的所述第一光栅结构具有工艺诱发的不对称性。
8.根据权利要求6所述的方法,其中所述度量目标包含位于所述后续层中的光栅结构,所述度量目标的所述光栅结构与所述第一叠对目标的所述第二光栅结构具有工艺诱发的不对称性。
9.根据权利要求6所述的方法,其中所述变换涉及主分量分析PCA、独立分量分析ICA、核心PCA、非线性PCA、快速傅里叶变换FFT分析、离散余弦变换DCT分析及小波分析中的任一者。
10.一种叠对度量系统,其包括:
照射源,其经配置以将一量的照射光供应到样品;
检测器,其经配置而以第一方位角及第二方位角从第一叠对目标及第二叠对目标收集一量的零级衍射光,
所述第一叠对目标具有位于所述样品的第一层中的第一光栅结构及位于所述样品的后续层中的第二光栅结构,其中所述第二光栅结构沿第一方向从所述第一光栅结构偏移已知偏移距离,且
所述第二叠对目标具有位于所述第一层中的第一光栅结构及位于所述后续层中的第二光栅结构,其中所述第二光栅结构沿与所述第一方向相反的第二方向偏移已知偏移距离;及
计算系统,其经配置以:
接收与以所述第一方位角对所述第一叠对目标进行的测量相关联的第一量的散射术数据以及与从所述第二方位角对所述第一叠对目标进行的测量相关联的第二量的散射术数据;
基于所述第一量的散射术数据与所述第二量的散射术数据之间的差而确定所述第一叠对目标的第一差分测量信号;
接收与以所述第一方位角对所述第二叠对目标进行的测量相关联的第三量的散射术数据以及与从所述第二方位角对所述第二叠对目标进行的测量相关联的第四量的散射术数据;
基于所述第三量的散射术数据与所述第四量的散射术数据之间的差而确定所述第二叠对目标的第二差分测量信号;及
至少部分地基于所述第一差分测量信号及所述第二差分测量信号而确定所述第一叠对目标及所述第二叠对目标的所述第一光栅结构与所述第一叠对目标及所述第二叠对目标的所述第二光栅结构之间的叠对误差。
11.根据权利要求10所述的叠对度量系统,其中所述第一量的散射术数据、所述第二量的散射术数据、所述第三量的散射术数据及所述第四量的散射术数据包含以多个照射波长进行的测量。
12.根据权利要求11所述的叠对度量系统,其中所述第一差分测量信号的所述确定涉及各自针对所述第一叠对目标基于所述多个照射波长中的每一者下的所述第一量的散射术数据与所述第二量的散射术数据之间的差而确定的多个差分测量信号的求和,且其中所述第二差分测量信号的所述确定涉及各自针对所述第二叠对目标基于所述多个照射波长中的每一者下的所述第三量的散射术数据与所述第四量的散射术数据之间的差而确定的多个差分测量信号的求和。
13.根据权利要求11所述的叠对度量系统,其中所述第一差分测量信号的所述确定涉及各自针对所述第一叠对目标基于所述多个照射波长中的每一者下的所述第一量的散射术数据与所述第二量的散射术数据之间的差而确定的多个差分测量信号的加权求和,且其中所述第二差分测量信号的所述确定涉及各自针对所述第二叠对目标基于所述多个照射波长中的每一者下的所述第三量的散射术数据与所述第四量的散射术数据之间的差而确定的多个差分测量信号的加权求和。
14.根据权利要求13所述的叠对度量系统,其中所述检测器进一步经配置而以所述第一方位角及所述第二方位角从各自具有位于第一层中的第一光栅结构及位于后续层中的第二光栅结构的多个叠对训练目标收集一量的零级衍射光,其中所述第一光栅结构中的每一者与所述第二光栅结构中的每一者偏移不同的已知叠对值,且其中所述计算系统进一步经配置以:
接收与以所述第一方位角对所述叠对训练目标中的每一者进行的测量相关联的第五量的散射术数据以及与以所述第二方位角对所述叠对训练目标中的每一者进行的测量相关联的第六量的散射术数据;
确定多个差分测量信号,所述多个差分测量信号中的每一差分测量信号基于关联于所述叠对训练目标中的每一者的所述第五量的散射术数据的一部分与所述第六量的散射术数据的一部分之间的差;及
基于所述多个差分测量信号中的每一者的主分量的线性组合到所述已知叠对值的函数的拟合而确定所述加权求和的多个加权值。
15.根据权利要求10所述的叠对度量系统,其中检测器进一步经配置而以所述第一方位角及所述第二方位角从具有工艺诱发的不对称性的度量目标收集一量的零级衍射光,且其中所述计算系统进一步经配置以:
接收与以所述第一方位角对所述度量目标进行的测量相关联的第五量的散射术数据以及与以所述第二方位角对所述度量目标进行的测量相关联的第六量的散射术数据;
将所述第五量的散射术数据及所述第六量的散射术数据从测量域中的坐标变换到所述度量目标在替代域中的坐标;
将所述第一量的散射术数据及所述第二量的散射术数据从所述测量域中的坐标变换到所述第一叠对目标在所述替代域中的坐标;
将所述度量目标的主分量的线性模型拟合到所述第一叠对目标的主分量;及
确定所述度量目标的所述主分量的所述线性模型到所述第一叠对目标的所述主分量的所述拟合的残差,其中所述第一叠对目标的所述第一差分测量信号的所述确定基于关联于所述第一量的散射术数据的所述残差与关联于所述第二量的散射术数据的所述残差之间的差。
16.根据权利要求15所述的叠对度量系统,其中所述度量目标包含位于所述第一层中的光栅结构,所述度量目标的所述光栅结构与所述第一叠对目标的所述第一光栅结构具有工艺诱发的不对称性。
17.根据权利要求15所述的叠对度量系统,其中所述度量目标包含位于所述后续层中的光栅结构,所述度量目标的所述光栅结构与所述第一叠对目标的所述第二光栅结构具有工艺诱发的不对称性。
18.根据权利要求10所述的叠对度量系统,其中所述第一量的散射术数据、所述第二量的散射术数据、所述第三量的散射术数据及所述第四量的散射术数据包含通过多种不同度量技术获取的散射术测量。
19.一种方法,其包括:
接收第一量的图像数据,所述第一量的图像数据包含具有至少一个所关注参数的已知值的样品的表面上的第一多个测量位点的第一多个图像,其中所述测量位点中的每一者包含所述测量位点中的每一者内的相同标称位置处的相同标称结构,其中测量信号值与所述第一多个图像中的每一者的每一像素相关联,且其中所述第一量的图像数据是从通过至少一种测量技术执行的测量导出;
基于所述第一量的图像数据的一部分而确定特征提取模型,其中所述特征提取模型缩减所述第一量的图像数据的所述部分的维度;及
基于从所述第一量的图像数据的所述部分提取的特征及所述至少一个所关注参数的所述已知值而训练基于图像的测量模型。
20.根据权利要求19所述的方法,其进一步包括:
将所述第一多个图像中的每一者对准到每一测量位点的共同参考位置。
21.根据权利要求19所述的方法,其进一步包括:
将所述第一多个图像中的每一者滤波。
22.根据权利要求19所述的方法,其进一步包括:
选择与所述第一多个图像中的每一者相关联的所述像素的子集,其中所述第一量的图像数据的所述部分基于与所述第一多个图像中的每一者的所述选定像素相关联的所述测量信号值。
23.根据权利要求22所述的方法,其中与所述第一多个图像中的每一者相关联的所述像素的所述子集的所述选择涉及确定与所述第一多个图像中的每一者的像素相关联的所述测量信号值的方差,且在所述方差超过预定阈值的情况下选择所述像素。
24.根据权利要求19所述的方法,其中所述多个图像中的每一图像包含位于装置区中的装置结构。
25.根据权利要求19所述的方法,其中所述多个图像中的每一图像包含通过相同工艺条件形成的多个不同度量目标。
26.根据权利要求19所述的方法,其中所述至少一个所关注参数的所述已知值中的每一者是工艺参数值、结构参数值、分散参数值及布局参数值中的任一者。
27.根据权利要求19所述的方法,其中所述特征提取模型是主分量分析PCA模型、独立分量分析ICA模型、核心PCA模型、非线性PCA模型、快速傅里叶变换FFT模型、离散余弦变换DCT模型及小波模型中的任一者。
28.根据权利要求19所述的方法,其中所述基于图像的测量模型是线性模型、多项式模型、神经网络模型、支持向量机模型、决策树模型及随机森林模型中的任一者。
29.根据权利要求19所述的方法,其中所述第一量的图像数据图像包含通过多种不同度量技术获取的图像或图像组合。
30.根据权利要求19所述的方法,其进一步包括:
接收第二量的图像数据,所述第二量的图像数据包含第二多个测量位点的第二多个图像,其中测量信号值是与所述第二多个图像中的每一者的每一像素相关联,且其中所述第二量的图像数据是从通过所述相同的至少一种测量技术执行的测量导出;
从所述第二量的图像数据提取图像特征;
基于所述所提取特征到所述经训练的基于图像的测量模型的拟合而确定与所述第二多个测量位点中的每一者相关联的至少一个所关注参数的值;及
将所述至少一个所关注参数的所述值存储于存储器中。
31.一种测量系统,其包括:
照射源,其经配置以将一量的照射光供应到样品;
成像检测器,其经配置以捕获具有至少一个所关注参数的已知值的样品的表面上的第一多个测量位点的第一多个图像,其中所述测量位点中的每一者包含所述测量位点中的每一者内的相同标称位置处的相同标称结构,其中测量信号值是与所述第一多个图像中的每一者的每一像素相关联;及
计算系统,其经配置以:
接收所述第一多个图像;
基于所述第一多个图像的一部分而确定特征提取模型,其中所述特征提取模型缩减所述第一多个图像的所述部分的维度;及
基于从所述第一多个图像的所述部分提取的特征及所述至少一个所关注参数的所述已知值而训练基于图像的测量模型。
32.根据权利要求31所述的测量系统,其进一步包括:
选择与所述第一多个图像中的每一者相关联的所述像素的子集,其中所述第一多个图像的所述部分基于与所述第一多个图像中的每一者的所述选定像素相关联的所述测量信号值。
33.根据权利要求31所述的测量系统,其中所述第一多个图像中的每一图像包含位于装置区中的装置结构。
34.根据权利要求31所述的测量系统,其中所述第一多个图像中的每一图像包含通过相同工艺条件形成的多个不同度量目标。
35.根据权利要求31所述的测量系统,其中所述至少一个所关注参数的所述已知值中的每一者是工艺参数值、结构参数值、分散参数值及布局参数值中的任一者。
36.根据权利要求31所述的测量系统,其中所述特征提取模型是主分量分析PCA模型、独立分量分析ICA模型、核心PCA模型、非线性PCA模型、快速傅里叶变换FFT模型、离散余弦变换DCT模型及小波模型中的任一者。
37.根据权利要求31所述的测量系统,其中所述基于图像的测量模型是线性模型、多项式模型、神经网络模型、支持向量机模型、决策树模型及随机森林模型中的任一者。
38.根据权利要求31所述的测量系统,其中所述第一多个图像包含通过多种不同度量技术获取的图像或图像组合。
39.根据权利要求31所述的测量系统,其中所述成像检测器进一步经配置以捕获第二多个测量位点的第二多个图像,其中测量信号值与所述第二多个图像中的每一者的每一像素相关联,且其中所述计算系统进一步经配置以:
从所述第二多个图像提取图像特征;
基于所述所提取特征到所述经训练的基于图像的测量模型的拟合而确定与所述第二多个测量位点中的每一者相关联的至少一个所关注参数的值;及
将所述至少一个所关注参数的所述值存储于存储器中。
40.一种方法,其包括:
接收第一量的图像数据,所述第一量的图像数据包含第一多个测量位点的第一多个图像,其中测量信号值与所述第一多个图像中的每一者的每一像素相关联,且其中所述第一量的图像数据是从通过至少一种测量技术执行的测量导出;
从所述第一量的图像数据提取图像特征;
基于所述所提取特征到经训练的基于图像的测量模型的拟合而确定与所述第一多个测量位点中的每一者相关联的至少一个所关注参数的值;及
将所述至少一个所关注参数的所述值存储于存储器中。
41.根据权利要求40所述的方法,其进一步包括:
接收第二量的图像数据,所述第二量的图像数据包含具有至少一个所关注参数的已知值的样品的表面上的第二多个测量位点的第二多个图像,其中测量信号值与所述第二多个图像中的每一者的每一像素相关联,且其中所述第二量的图像数据是从通过所述相同的至少一种测量技术执行的测量导出;
基于所述第二量的图像数据的一部分而确定特征提取模型,其中所述特征提取模型缩减所述第二量的图像数据的所述部分的维度;及
基于从所述第二量的图像数据的所述部分提取的特征及所述至少一个所关注参数的所述已知值而训练所述基于图像的测量模型。
CN201580055448.6A 2014-10-14 2015-10-13 用于基于图像的测量及基于散射术的叠对测量的信号响应度量 Active CN107076681B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910872426.9A CN110596146B (zh) 2014-10-14 2015-10-13 用于基于图像的测量及基于散射术的叠对测量的信号响应度量

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462063932P 2014-10-14 2014-10-14
US62/063,932 2014-10-14
US14/880,077 2015-10-09
US14/880,077 US10210606B2 (en) 2014-10-14 2015-10-09 Signal response metrology for image based and scatterometry overlay measurements
PCT/US2015/055373 WO2016061128A1 (en) 2014-10-14 2015-10-13 Signal response metrology for image based and scatterometry overlay measurements

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201910872426.9A Division CN110596146B (zh) 2014-10-14 2015-10-13 用于基于图像的测量及基于散射术的叠对测量的信号响应度量

Publications (2)

Publication Number Publication Date
CN107076681A true CN107076681A (zh) 2017-08-18
CN107076681B CN107076681B (zh) 2019-12-31

Family

ID=55747229

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580055448.6A Active CN107076681B (zh) 2014-10-14 2015-10-13 用于基于图像的测量及基于散射术的叠对测量的信号响应度量
CN201910872426.9A Active CN110596146B (zh) 2014-10-14 2015-10-13 用于基于图像的测量及基于散射术的叠对测量的信号响应度量

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201910872426.9A Active CN110596146B (zh) 2014-10-14 2015-10-13 用于基于图像的测量及基于散射术的叠对测量的信号响应度量

Country Status (7)

Country Link
US (1) US10210606B2 (zh)
JP (2) JP6688294B2 (zh)
KR (2) KR102486070B1 (zh)
CN (2) CN107076681B (zh)
IL (1) IL250087B (zh)
TW (1) TWI703652B (zh)
WO (1) WO2016061128A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107908071A (zh) * 2017-11-28 2018-04-13 上海集成电路研发中心有限公司 一种基于神经网络模型的光学邻近校正方法
CN114503123A (zh) * 2019-10-14 2022-05-13 科磊股份有限公司 用于计量的信号域适应

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10311198B2 (en) * 2014-02-16 2019-06-04 Nova Measuring Instruments Ltd. Overlay design optimization
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
KR101986258B1 (ko) 2014-08-29 2019-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 타겟 및 기판
US9696265B2 (en) * 2014-11-04 2017-07-04 Exnodes Inc. Computational wafer inspection filter design
EP3025719B1 (en) 2014-11-26 2018-09-26 Miltenyi Biotec GmbH Combination immunotherapy of antigen-recognizing receptors and hematopoietic cells for the treatment of diseases
CN108431692B (zh) 2015-12-23 2021-06-18 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
CN113552779A (zh) 2016-07-15 2021-10-26 Asml荷兰有限公司 用于量测目标场的设计的方法和设备
WO2018087207A1 (en) * 2016-11-10 2018-05-17 Asml Netherlands B.V. Design and correction using stack difference
EP3333631A1 (en) 2016-12-06 2018-06-13 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, polarizer assembly
EP3336605A1 (en) 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
EP3339959A1 (en) 2016-12-23 2018-06-27 ASML Netherlands B.V. Method of determining a position of a feature
JP7179742B2 (ja) * 2017-02-10 2022-11-29 ケーエルエー コーポレイション 散乱計測オーバーレイターゲット及び方法
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
WO2018202388A1 (en) 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
CN108962776B (zh) * 2017-05-26 2021-05-18 台湾积体电路制造股份有限公司 半导体装置及其制造方法和覆盖误差的测量方法
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
EP3451060A1 (en) 2017-08-28 2019-03-06 ASML Netherlands B.V. Substrate, metrology apparatus and associated methods for a lithographic process
KR102378617B1 (ko) * 2017-09-28 2022-03-23 에이에스엠엘 네델란즈 비.브이. 리소그래피 방법
KR102253565B1 (ko) * 2017-10-22 2021-05-18 케이엘에이 코포레이션 이미징 오버레이 계측에서 오버레이 오정렬 오차 평가치의 이용
US10461037B2 (en) * 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with overlay grating
US11380594B2 (en) * 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
EP3489756A1 (en) * 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
CN112119423A (zh) * 2017-11-28 2020-12-22 耶鲁大学 形式化验证的系统和方法
US10473460B2 (en) * 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US10580673B2 (en) 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
JP7074489B2 (ja) 2018-02-08 2022-05-24 株式会社Screenホールディングス データ処理方法、データ処理装置、および、データ処理プログラム
US11067389B2 (en) * 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
JP2019184354A (ja) * 2018-04-06 2019-10-24 株式会社日立ハイテクノロジーズ 電子顕微鏡装置、電子顕微鏡装置を用いた検査システム及び電子顕微鏡装置を用いた検査方法
JP2019185972A (ja) 2018-04-06 2019-10-24 株式会社日立ハイテクノロジーズ 走査電子顕微鏡システム及びパターンの深さ計測方法
US10579764B2 (en) * 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks
EP3640735A1 (en) * 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
US11062928B2 (en) * 2019-10-07 2021-07-13 Kla Corporation Process optimization using design of experiments and response surface models
JP7275585B2 (ja) * 2019-01-10 2023-05-18 株式会社ニコン 観察装置、観察装置の作動方法及び内視鏡装置
US11340060B2 (en) * 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
JP7149906B2 (ja) 2019-08-07 2022-10-07 株式会社日立ハイテク 走査電子顕微鏡及びパタン計測方法
CN110633076B (zh) * 2019-09-16 2021-05-04 杭州趣链科技有限公司 一种自动生成Solidity智能合约Java客户端程序的方法
GB2598310B (en) * 2020-08-24 2022-10-12 Dualitas Ltd Data processing
CN112419486A (zh) * 2020-12-02 2021-02-26 广州粤芯半导体技术有限公司 一种光刻胶形貌的三维重建方法
KR20230152742A (ko) * 2021-03-10 2023-11-03 에이에스엠엘 네델란즈 비.브이. 정렬 방법 및 연관된 정렬 및 리소그래피 장치
US11556062B2 (en) 2021-03-18 2023-01-17 Kla Corporation Sub-resolution imaging target
US20220404143A1 (en) * 2021-06-18 2022-12-22 Kla Corporation Methods And Systems For Measurement Of Tilt And Overlay Of A Structure
EP4113210A1 (en) * 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
KR102516198B1 (ko) * 2021-12-22 2023-03-30 호서대학교 산학협력단 인공신경망을 이용한 비전 검사를 위한 장치 및 이를 위한 방법
US11861824B1 (en) * 2022-02-03 2024-01-02 Kla Corporation Reference image grouping in overlay metrology
WO2024099686A1 (en) * 2022-11-09 2024-05-16 Asml Netherlands B.V. Systems, methods, and software for overlay model building and application

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
US20110154272A1 (en) * 2009-12-17 2011-06-23 Industrial Technology Research Institute Method for designing two-dimensional array overlay target sets and method and system for measuring overlay errors using the same
WO2012126684A1 (en) * 2011-03-24 2012-09-27 Asml Netherlands B.V. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
US20140297211A1 (en) * 2013-03-27 2014-10-02 Kla-Tencor Corporation Statistical model-based metrology
WO2014159787A1 (en) * 2013-03-14 2014-10-02 Kla-Tencor Corporation Method and system for reference-based overlay measurement

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
SG152898A1 (en) * 2002-09-20 2009-06-29 Asml Netherlands Bv Alignment systems and methods for lithographic systems
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
TWI269870B (en) * 2004-12-30 2007-01-01 Ind Tech Res Inst Method for deciding structure parameters of a grating
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7277172B2 (en) 2005-06-06 2007-10-02 Kla-Tencor Technologies, Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
TWI347428B (en) * 2007-11-02 2011-08-21 Ind Tech Res Inst Overlay alignment structure and method for overlay metrology using the same
TWI364784B (en) * 2008-06-13 2012-05-21 Ind Tech Res Inst Method for designing overlay targets and method and system for measuring overlay error using the same
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
WO2011003734A1 (en) * 2009-07-06 2011-01-13 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus and lithographic processing cell
WO2011011511A1 (en) 2009-07-22 2011-01-27 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
US8525993B2 (en) 2009-10-07 2013-09-03 Nanometrics Incorporated Scatterometry measurement of asymmetric structures
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US8817273B2 (en) * 2012-04-24 2014-08-26 Nanometrics Incorporated Dark field diffraction based overlay
US9714827B2 (en) * 2012-07-05 2017-07-25 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, device manufacturing method and substrate
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
WO2015090838A1 (en) * 2013-12-19 2015-06-25 Asml Netherlands B.V. Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
NL2015269A (en) * 2014-08-29 2016-07-08 Asml Holding Nv Method and apparatus for spectrally broadening radiation.
US9710728B2 (en) * 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
US20110154272A1 (en) * 2009-12-17 2011-06-23 Industrial Technology Research Institute Method for designing two-dimensional array overlay target sets and method and system for measuring overlay errors using the same
WO2012126684A1 (en) * 2011-03-24 2012-09-27 Asml Netherlands B.V. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
WO2014159787A1 (en) * 2013-03-14 2014-10-02 Kla-Tencor Corporation Method and system for reference-based overlay measurement
US20140297211A1 (en) * 2013-03-27 2014-10-02 Kla-Tencor Corporation Statistical model-based metrology

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107908071A (zh) * 2017-11-28 2018-04-13 上海集成电路研发中心有限公司 一种基于神经网络模型的光学邻近校正方法
CN107908071B (zh) * 2017-11-28 2021-01-29 上海集成电路研发中心有限公司 一种基于神经网络模型的光学邻近校正方法
CN114503123A (zh) * 2019-10-14 2022-05-13 科磊股份有限公司 用于计量的信号域适应
CN114503123B (zh) * 2019-10-14 2023-07-14 科磊股份有限公司 用于计量的信号域适应

Also Published As

Publication number Publication date
CN107076681B (zh) 2019-12-31
KR102486070B1 (ko) 2023-01-06
US20160117847A1 (en) 2016-04-28
KR20220107326A (ko) 2022-08-02
JP2017532602A (ja) 2017-11-02
TW201626476A (zh) 2016-07-16
KR102538261B1 (ko) 2023-05-30
IL250087B (en) 2020-05-31
CN110596146A (zh) 2019-12-20
JP6688294B2 (ja) 2020-04-28
US10210606B2 (en) 2019-02-19
JP2020126254A (ja) 2020-08-20
IL250087A0 (en) 2017-03-30
CN110596146B (zh) 2022-09-27
JP6983944B2 (ja) 2021-12-17
TWI703652B (zh) 2020-09-01
WO2016061128A1 (en) 2016-04-21
KR20170069250A (ko) 2017-06-20

Similar Documents

Publication Publication Date Title
CN107076681A (zh) 用于基于图像及散射术的叠对测量的信号响应度量
CN106463429B (zh) 用于基于散射术的重叠测量的信号响应度量
CN105684127B (zh) 用于半导体目标的度量的差分方法及设备
US9710728B2 (en) Image based signal response metrology
KR102332956B1 (ko) 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들
CN106462078B (zh) 衬底和量测用图案形成装置、量测方法及器件制造方法
CN104995562B (zh) 基于模型的度量及过程模型的经整合使用
CN107533995B (zh) 以模型为基础的热点监测
CN107924561A (zh) 使用图像的以模型为基础的计量
TWI675179B (zh) 多重圖案化參數之量測
TWI688829B (zh) 用於基於影像之疊對量測之信號回應計量
US9885962B2 (en) Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
IL259489A (en) X-ray scattering measurement metrology for structures with a high aspect ratio
CN107111250A (zh) 度量方法、计算机产品和系统
CN107408519A (zh) 基于模型的单个参数测量
KR20160002968A (ko) 온-디바이스 계측
JP2018507438A (ja) メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant