KR102538261B1 - 이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측 - Google Patents

이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측 Download PDF

Info

Publication number
KR102538261B1
KR102538261B1 KR1020227025403A KR20227025403A KR102538261B1 KR 102538261 B1 KR102538261 B1 KR 102538261B1 KR 1020227025403 A KR1020227025403 A KR 1020227025403A KR 20227025403 A KR20227025403 A KR 20227025403A KR 102538261 B1 KR102538261 B1 KR 102538261B1
Authority
KR
South Korea
Prior art keywords
image
measurement
model
images
overlay
Prior art date
Application number
KR1020227025403A
Other languages
English (en)
Other versions
KR20220107326A (ko
Inventor
스틸리안 판데프
드미트리 산코
웨이 루
싯다르트 스리바스타바
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20220107326A publication Critical patent/KR20220107326A/ko
Application granted granted Critical
Publication of KR102538261B1 publication Critical patent/KR102538261B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/30Determination of transform parameters for the alignment of images, i.e. image registration
    • G06T7/33Determination of transform parameters for the alignment of images, i.e. image registration using feature-based methods
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N23/00Cameras or camera modules comprising electronic image sensors; Control thereof
    • H04N23/56Cameras or camera modules comprising electronic image sensors; Control thereof provided with illuminating means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30004Biomedical image processing
    • G06T2207/30081Prostate
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Quality & Reliability (AREA)
  • Signal Processing (AREA)
  • Multimedia (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Image Analysis (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

연속적인 리소그래피 프로세스에 의해 기판 상에 형성되는 구조체 사이의 오버레이 에러를 측정하기 위한 방법 및 시스템이 본원에서 제시된다. 반대 방향의 프로그래밍된 오프셋을 각각 구비하는 두 개의 오버레이 타겟은 오버레이 측정을 수행하기 위해 활용된다. 오버레이 에러는 제로 차수 산란측정 신호에 기초하여 측정되고 산란측정 데이터는 두 개의 상이한 방위각에서 각각의 타겟으로부터 수집된다. 또한, 측정된 이미지 기반의 트레이닝 데이터에 기초하여 이미지 기반의 측정 모델을 생성하기 위한 방법 및 시스템이 제시된다. 그 다음, 트레이닝된 이미지 기반의 측정 모델은, 주목하는 하나 이상의 파라미터의 값을 다른 웨이퍼로부터 수집되는 측정된 이미지 데이터로부터 직접적으로 계산하기 위해 사용된다. 본원에서 설명되는 이미지 기반의 측정을 위한 방법 및 시스템은 계측 및 검사 애플리케이션 둘 다에 대해 적용가능하다.

Description

이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측{SIGNAL RESPONSE METROLOGY FOR IMAGE BASED AND SCATTEROMETRY OVERLAY MEASUREMENTS}
<관련 출원의 상호 참조>
본 특허 출원은 2014년 10월 14일자로 출원된 발명의 명칭이 "Method and Apparatus of Measuring Overlay"인 미국 특허 가출원 제62/063,932호로부터의 35 U.S.C §119 하에서의 우선권을 주장하는데, 상기 특허 가출원의 주제는 참조에 의해 그 전체가 본원에 통합된다.
<기술 분야>
설명되는 실시형태는 계측 시스템 및 방법에 관한 것으로, 특히 향상된 산란측정(scatterometry) 기반의 오버레이 측정 및 이미지 기반의 측정을 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는 시료(specimen)에 대해 적용되는 일련의 프로세싱 단계에 의해 통상적으로 제조된다. 반도체 디바이스의 다양한 피쳐(feature) 및 다수의 구조적 레벨(structural level)도 이들 프로세싱 단계에 의해 형성된다. 예를 들면, 다른 것들 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가 예는, 화학적 기계적 연마, 에칭, 퇴적(deposition), 및 이온 주입을 포함하지만, 그러나 이들로 한정되지는 않는다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에서 제조되고, 그 후 개개의 반도체 디바이스로 분리된다.
계측 프로세스는, 웨이퍼 상에서 결함을 검출하여 수율을 높이기 위해, 반도체 제조 프로세스 동안의 다양한 단계에서 사용된다. 광학적 계측 기술은 샘플 파괴의 위험이 없는 높은 스루풋의 가능성을 제공한다. 나노스케일 구조체의 임계 치수, 막 두께, 조성, 오버레이 및 다른 파라미터의 특성을 묘사하기 위해, 산란측정법(scatterometry) 및 반사측정법(reflectometry) 구현 및 관련 분석 알고리즘을 포함하는 다수의 광학적 계측 기반의 기술이 일반적으로 사용되고 있다.
반도체 디바이스는 종종 일련의 레이어를 기판 상에 퇴적하는 것에 의해 제조된다. 레이어 중 일부 또는 전체는 다양한 패턴화된 구조체를 포함한다. 특정한 레이어 내부 및 레이어 사이 둘 다에서의 구조체의 상대적 포지션은 완성된 전자 디바이스의 성능에 중요하다. 오버레이는, 웨이퍼의 동일한 또는 상이한 레이어 상의 겹쳐있거나 또는 얽혀 있는 구조체의 상대적 포지션을 가리킨다. 오버레이 에러는 겹쳐있거나 또는 얽혀 있는 구조체의 공칭의(즉 원하는) 상대적 포지션으로부터의 편차를 가리킨다. 오버레이 에러가 클수록, 구조체는 더 많이 오정렬된다. 오버레이 오차가 너무 크면, 제조된 전자 디바이스의 성능은 손상될 수도 있다.
오버레이 에러의 특성묘사(characterization)에 산란측정 오버레이(scatterometry overlay; SCOL) 계측 기술이 적용되었다. 이들 방법은, 프로그래밍된 오버레이 오프셋을 각각 갖는 타겟의 쌍으로부터의 회절에 대응하는 광학 신호의 차동 측정에 주로 기초한다. 미지의 오버레이 에러는 이들 차동 측정에 기초하여 추출된다.
대부분의 현존하는 방법에서, 오버레이 에러는 구조의 비대칭성을 감지하는 메트릭에 기초하여 특성묘사된다. 하나의 예에서, 현존하는 각도 분해 산란측정 오버레이(SCOL)는, 오버레이 에러를 나타내는 +1과 -1 회절 차수(diffracted order) 사이의 비대칭성의 특성묘사를 수반한다. 그러나, 오버레이 에러의 지표(indicator)로서 비대칭성에 의존하는 것은, 라인 프로파일 비대칭성(line profile asymmetry) 또는 빔 조명 비대칭성(beam illumination asymmetry)과 같은 다른 비대칭성이 측정 신호의 오버레이 생성 비대칭성(overlay-generated asymmetry)에 커플링하기 때문에, 문제가 된다. 이것은 오버레이 에러의 부정확한 측정으로 나타난다.
현존하는 방법에서, 오버레이 에러는, 통상적으로, 리소그래피 툴에 의해 웨이퍼의 다양한 위치에 형성되는 특수화된 타겟 구조체의 측정에 기초하여 평가된다. 타겟 구조체는, 박스 내 박스 구조체(box in box structure)와 같은 다양한 형태를 취할 수 있다. 이 형태에서, 웨이퍼의 하나의 레이어 상에 박스가 생성되고 제2의 더 작은 박스가 다른 레이어 상에 생성된다. 두 박스의 중심 사이의 정렬을 비교하는 것에 의해 국소화된 오버레이 에러가 측정된다. 이러한 측정은, 타겟 구조체가 이용할 수 있는 웨이퍼 상의 위치에서 취해진다.
불행하게도, 이들 특수 타겟 구조체는, 종종, 전자 디바이스를 생성하기 위해 활용되고 있는 특정한 반도체 제조 프로세스의 디자인 룰을 따르지 않는다. 이것은, 이용가능한 디자인 룰에 따라 제조되는 실제 디바이스 구조체와 관련되는 오버레이 에러의 추정에서의 에러로 이어진다. 예를 들면, 이미지 기반의 오버레이 계측은, 종종, 디자인 룰 임계 치수를 훨씬 초과하는 임계 치수를 갖는 두꺼운 라인을 필요로 하는 패턴이 광학 현미경으로 분해되는 것을 필요로 한다. 다른 예에서, 각도 분해 SCOL은, 종종, 오버레이 타겟으로부터 +1과 -1 전파 회절 차수의 충분한 신호를 생성하기 위해 큰 피치의 타겟을 필요로 한다. 몇몇 예에서, 500-800nm 범위의 피치 값이 사용될 수도 있다. 한편, 로직 또는 메모리 애플리케이션(디자인 룰 치수)에 대한 실제 디바이스 피치는, 예를 들면, 100-400nm의 범위, 또는 심지어 100nm 미만으로 훨씬 작을 수도 있다.
하나의 현존하는 방법에서는, 양 타겟의 +1 및 -1 회절 차수 강도를 측정하기 위해, +d 및 -d의 프로그래밍된 오버레이 시프트를 갖는 두 개의 이중 격자 타겟이 사용된다. +1 및 -1 회절 차수 신호에서의 비대칭성은 스택의 레이어 사이의 오버레이 시프트의 척도이다. 측정된 비대칭성은 오버레이 에러에 선형적으로 비례하며 타겟의 쌍은 비율을 계산하기 위해 사용된다. 추가 상세는 『"Performance of ASML YieldStar μDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control," Proc. SPIE 8681, Metrology, Inspection, and Process Control for Microlithography XXVII, 86811F (April 18, 2013)』 및 『"Optical Scatterometry For In-Die Sub-Nanometer Overlay Metrology," 2013 International Conference on Frontiers of Characterization and Metrology for Nanoelectronics (FCMN2013), March 25-28, 2013, NIST, Gaithersburg, Maryland, USA』에서 설명된다.
이 접근방식의 단점은, +1 및 -1 회절 차수 신호의 측정이 큰 피치의 비-디자인 룰(non-design rule) 타겟을 필요로 한다는 것이다. 다른 단점은, 조명 파장을 계측 타겟의 격자 피치에 적절히 매칭시키는 것에 측정 감도가 의존한다는 것이다. 이용가능한 조명 파장이 통상적으로 제한되기 때문에, 이것은, 특히, 격자 사이의 레이어가 이용가능한 파장에 대해 불투명한 경우에 오버레이 감도를 제한한다.
다른 현존하는 방법에서는, 상이한 프로그래밍된 오버레이 시프트를 각각 갖는 적어도 세 개의 이중 격자 타겟이 조명되고 제로 차수 회절 광이 큰 대역의 입사 공간 위에서 수집된다. 타겟의 모든 쌍 사이의 신호 차이가 계산된다. 결과적으로 나타나는 차동 신호의 조합은 오버레이에 비례한다. 오버레이 에러를 계산하기 위해, 측정된 오버레이 및 타겟의 알려진 프로그래밍된 오버레이가 사용된다. 추가 상세는 『"Overlay control using scatterometry based metrology (SCOLTM) in production environment," Metrology, Inspection, and Process Control for Microlithography XXII, Proc. of SPIE Vol. 6922, 69222S, (2008)』에서 설명된다. 이 접근방식의 단점은, X 및 Y 오버레이 둘 다를 측정하기 위해서는 여섯 개 또는 여덟 개의 셀 타겟이 통상적으로 필요하다는 것이다.
몇몇 다른 예에서, 오버레이 측정에 대한 모델 기반의 접근방식이 활용된다. 하나의 예에서, 오버레이 파라미터를 포함해서 이중 격자 타겟의 모델이 파라미터화된다. 광 산란의 전자기 모델링은, 이중 격자 타겟으로부터 수집되는 신호를 시뮬레이팅하기 위해 사용된다. 오버레이 에러를 추정하기 위해, 측정된 신호에 대해 시뮬레이팅된 신호의 비선형 회귀가 수행된다. 이 접근방식은 재료 특성 및 구조의 정확한 모델링을 필요로 한다. 모델링 노력은 복잡하고 시간 소모적이며, 결과적으로 나타나는 회귀 루틴은 결과에 도달하는데 아주 많은 양의 컴퓨팅 노력과 시간을 필요로 한다.
미래의 오버레이 계측 애플리케이션은, 증가 추세의 작은 해상도 요건 및 증가 추세의 높은 값의 웨이퍼 면적으로 인해 계측에 대해 난제를 제시한다. 따라서, 향상된 오버레이 측정을 위한 방법 및 시스템이 바람직하다.
이미지 기반의 측정은, 통상적으로, 이미지에서의 특정한 타겟 피쳐(예를 들면, 라인 세그먼트, 박스, 등등)의 인식을 수반하고 주목하는 파라미터는 이들 피쳐에 기초하여 계산된다. 통상적으로, 특수화된 타겟 구조체는 이미지 프로세싱 알고리즘에 따라 다르다. 예를 들면, 오버레이 타겟(예를 들면, 박스 내 박스 타겟, 프레임 내 프레임 타겟, 향상된 이미징 계측(advanced imaging metrology; AIM) 타겟)과 관련되는 라인 세그먼트는, 알고리즘의 특성을 따르도록 명확히 설계된다. 이 때문에, 전통적인 이미지 기반의 계측 알고리즘은 임의의 타겟 또는 디바이스 구조체에서는 신뢰성 있게 수행될 수 없다.
또한, 이미지의 제한된 영역에 알고리즘이 적용되기 때문에, 정보가 손실된다. 분석을 위한 초점으로서 특정한 라인 에지, 등등을 선택하는 것에 의해, 이미지의 다른 픽셀에 의해 이루어질 수도 있는 기여는 무시된다.
또한, 전통적인 이미지 기반의 알고리즘은, 캡쳐된 이미지 상에서 이들 에러 소스의 영향을 캡쳐할 시스템적 방식이 이들 알고리즘에는 없기 때문에, 프로세스 변동, 비대칭성, 및 광학적 시스템 에러에 민감하다.
반도체 제조, 및 특히 패턴화 프로세스에서, 프로세스 제어는 특정 전용 구조체에 대해 계측을 수행하는 것에 의해 가능하게 된다. 이들 전용 구조체는, 다이 사이의, 또는 다이 자체 내부의 스크라이브 라인에 위치할 수도 있다. 전용 계측 구조체의 사용은 상당한 측정 에러를 도입할 수도 있다. 실제 디바이스 구조체와 전용 계측 타겟 사이의 불일치는, 다이에서의 실제 디바이스 피쳐의 상태를 정확하게 반영하는 계측 데이터의 능력을 제한한다. 하나의 예에서, 불일치는, 전용 계측 타겟과 실제 디바이스 구조체가 함께 배치되지 않기 때문에 수차 필드(aberration field), 패턴 밀도, 또는 프로세스 로딩에서의 위치 의존 차이로 인해 발생한다. 다른 예에서, 전용 계측 구조체와 실제 디바이스 구조체의 특징적 피쳐 사이즈는 종종 꽤 상이하다. 그러므로, 전용 계측 타겟 및 실제 디바이스 구조체가 근접해 있더라도, 사이즈 차이로부터 불일치가 유래한다. 또한, 전용 계측 구조체는 디바이스 레이아웃에서 공간을 필요로 한다. 샘플링 밀도 요건이 높은 경우, 전용 계측 구조체가 실제 디바이스 구조체를 몰아낸다.
미래의 계측 애플리케이션은, 증가 추세의 작은 해상도 요건 및 증가 추세의 높은 값의 웨이퍼 면적으로 인해 이미지 기반의 계측에 대해 난제를 제시한다. 따라서, 향상된 이미지 기반의 측정을 위한 방법 및 시스템이 바람직하다.
연속적인 리소그래피 프로세스에 의해 기판 상에 형성되는 구조체 사이의 오버레이 에러를 측정하기 위한 방법 및 시스템이 본원에서 제시된다. 오버레이 에러는 0차 산란측정 신호에 기초하여 측정된다. 하나의 양태에서, 오버레이 측정을 수행하기 위해 단지 두 개의 오버레이 타겟만이 활용된다. 두 개의 오버레이 타겟의 각각은, 서로 반대 방향으로 오프셋되는 프로그래밍된 오버레이를 포함한다. 대칭성 신호를 생성하기 위해, 두 개의 상이한 방위각(azimuth angle)에서 각각의 타겟으로부터 산란측정 데이터가 수집된다. 대칭성 신호는 오버레이 에러를 결정하기 위해 사용된다.
추가 양태에서, 본원에서 설명되는 오버레이 측정 기술은 다수의 파장을 포함하는 산란측정 신호에 적용된다.
다른 추가 양태에서, 구조적 비대칭성에 대한 측정 감도를 감소시키기 위해, 본원에서 설명되는 오버레이 타겟과 연계하여 추가적인 계측 타겟이 사용된다. 사실상, 이들 추가적인 계측 타겟으로부터 수집되는 측정 데이터는, 오버레이 측정에 대한 비대칭성의 효과를 상관해제하기(de-correlate) 위해 사용된다.
또한, 측정된 이미지 기반의 트레이닝 데이터(예를 들면, 실험 계획법(Design of Experiments; DOE) 웨이퍼로부터 수집되는 이미지)에만 기초하여 이미지 기반의 측정 모델을 생성하기 위한 방법 및 시스템이 제시된다. 그 다음, 트레이닝된 이미지 기반의 측정 모델은, 주목하는 하나 이상의 파라미터의 값을 다른 웨이퍼로부터 수집되는 측정된 이미지 데이터로부터 직접적으로 계산하기 위해 사용된다. 통상적으로, 계측 및 검사 애플리케이션에 대해 상이한 측정 시스템이 사용되지만, 그러나, 본원에서 설명되는 방법 및 시스템은 계측 및 검사 애플리케이션 둘 다에 적용가능하다.
하나의 양태에서, 본원에서 설명되는 트레이닝된 이미지 기반의 측정 모델은 이미지 데이터를 입력으로서 직접적으로 수신하고 주목하는 하나 이상의 파라미터의 값을 출력으로서 제공한다. 측정 프로세스를 능률화하는 것에 의해, 계산 및 유저 시간에서의 감소와 함께 예측 결과는 향상된다.
추가 양태에서, 주목하는 파라미터의 값은 디바이스 상의 구조체(on-device structure)의 이미지로부터 결정될 수도 있다. 이들 실시형태에서, 디바이스 상의 구조체의 이미지는, 본원에서 설명되는 바와 같이 이미지 기반의 측정 모델을 트레이닝시키기 위해 사용된다. 트레이닝된 이미지 기반의 측정 모델은, 그 다음, 주목하는 하나 이상의 파라미터의 값을, 다른 웨이퍼로부터 수집되는 동일한 디바이스 상의 구조체의 이미지로부터 직접적으로 계산하기 위해 사용된다.
다른 추가 양태에서, 계측 타겟이 사용된다. 이들 실시형태에서, 계측 타겟 구조체의 이미지는, 본원에서 설명되는 바와 같이 이미지 기반의 측정 모델을 트레이닝시키기 위해 사용된다. 트레이닝된 이미지 기반의 측정 모델은, 그 다음, 주목하는 하나 이상의 파라미터의 값을, 다른 웨이퍼로부터 수집되는 동일한 계측 타겟 구조체의 이미지로부터 직접적으로 계산하기 위해 사용된다. 계측 타겟이 사용되면, 다수의 타겟이 단일의 이미지로부터 측정될 수 있고, 계측 타겟은 하나의 구조체 또는 적어도 두 개의 상이한 구조체를 포함할 수 있다.
또 다른 추가 양태에서, 모델 구축, 트레이닝, 및 측정을 위해, 다수의 상이한 측정 기술의 조합에 의해 수행되는 측정으로부터 도출되는 측정 데이터가 수집된다.
이미지 기반의 측정 모델을 생성하기 위해 단지 원시(raw) 이미지 데이터만을 사용하는 것에 의해, 본원에서 설명되는 바와 같이, 전통적인 이미지 기반의 계측 방법과 관련되는 에러 및 근사치가 감소된다. 또한, 이미지 기반의 측정 모델은, 이미지 기반의 측정 모델이 특정한 계측 시스템으로부터 수집되는 이미지 데이터에 기초하여 트레이닝되고 동일한 계측 시스템으로부터 수집되는 이미지에 기초하여 측정을 수행하도록 사용되기 때문에, 시스템적 에러, 비대칭성, 등등에 민감하지 않다.
일반적으로, 본원에서 설명되는 방법 및 시스템은 각각의 이미지를 전체로서 분석한다. 이미지에서 개개의 피쳐를 인식하는 대신, 각각의 픽셀은, 구조적 파라미터, 프로세스 파라미터, 분산 파라미터(dispersion parameter), 등등에 관한(또는 이들에 민감한) 정보를 포함하는 개개의 신호로서 간주된다.
앞서 언급한 것은 개요이며, 따라서, 필요에 의해, 세부사항의 단순화, 일반화, 및 생략을 포함하며; 결과적으로, 개요는 단지 예시적인 것이며 어떠한 방식으로든 제한하지는 않는다는 것을 기술 분야의 숙련된 자는 알 수 있을 것이다. 본원에 설명되는 디바이스 및/또는 프로세스의 다른 양태, 독창적인 피쳐, 및 이점은, 본원에서 기재되는 비제한적인 상세한 설명에서 명확해질 것이다.
도 1은 피측정 시료(10) 상에 배치되는 오버레이 타겟(100 및 110)을 묘사한다.
도 2는 본원에서 설명되는 바와 같은 오버레이를 측정하는 방법(120)을 예시하는 플로우차트이다.
도 3은 본원에서 제시되는 예시적인 방법(120)에 따라 시료로부터 수집되는 신호로부터 오버레이를 추정하기 위한 시스템(300)을 예시한다.
도 4는 다중 레이어의 라인/공간 계측 타겟(130)을 예시한다.
도 5는 x 방향으로 오프셋되는 두 개의 격자 구조를 갖는 다중 레이어 라인 공간 계측 타겟(140)을 예시한다.
도 6은 y 방향으로 오프셋되는 두 개의 격자 구조를 갖는 다중 레이어 라인 공간 계측 타겟(150)을 예시한다.
도 7은 하나의 실시형태에서의 오버레이 에러의 알려진 변동을 나타내는 타겟의 그리드를 갖는 DOE 웨이퍼(160)를 예시한다.
도 8은 225도의 방위각에서 오버레이 타겟(100)의 측정과 관련되는 수집된 산란측정 신호(171) 및 45도의 방위각에서 오버레이 타겟(100)의 측정과 관련되는 산란측정 신호(172)를 포함하는 플롯(170)을 묘사한다.
도 9는 산란측정 신호(172) 및 산란측정 신호(171)로부터 도출되는 차동 신호(181)의 플롯(180)을 묘사한다.
도 10은, 45도 및 225도의 방위각 및 다수의 파장에서의 오버레이 타겟(100)의 측정과 관련되는 합산된 차동 신호의 플롯(190)을 묘사한다.
도 11은 DOE 측정과 관련되는 차동 신호의 주 성분과 피팅되는 사인파 함수를 예시하는 플롯(200)을 묘사한다.
도 12는, 프로세스 유도 비대칭성을 포함하는 하부 격자 피쳐(bottom grating feature)(211)을 포함하는 오버레이 타겟(210) 및 동일한 프로세스 유도 비대칭성을 포함하며 오버레이 타겟(210)에 밀접하게 배치되는 계측 타겟(220)를 묘사한다.
도 13은, 프로세스 유도 비대칭성을 포함하는 상부 격자 피쳐(top grating feature)(212)을 포함하는 오버레이 타겟(210) 및 동일한 프로세스 유도 비대칭성을 포함하며 오버레이 타겟(210)에 밀접하게 배치되는 계측 타겟(230)를 묘사한다.
도 14는 오버레이 타겟(210) 및 오버레이 타겟(210)에 밀접하게 배치되는 계측 타겟(220 및 230)을 묘사한다.
도 15는 본원에서 설명되는 바와 같이 이미지 기반의 측정 모델을 트레이닝시키는 방법(400)을 예시하는 플로우차트이다.
도 16은, 방법(400)을 참조로 설명되는 바와 같은 트레이닝된 측정 모델을 사용하여 구조체의 측정을 수행하는 방법(410)을 예시하는 플로우차트이다.
도 17은 주목하는 하나 이상의 파라미터의 알려진 변동을 나타내는 구조체를 포함하는 측정 사이트(site)의 그리드를 갖는 실험 계획법 웨이퍼(420)를 묘사한다.
도 18은 웨이퍼(420)의 상이한 측정 사이트의 예시적인 이미지(422-424)를 묘사한다.
도 19는 이미지(422)와 관련되는 픽셀(425)의 그리드를 예시한다.
도 20은 방법(400)에 따른 모델 트레이닝 및 측정을 위해 선택되는 두 개의 상이한 픽셀 위치를 묘사한다.
도 21은 도 20에서 예시되는 픽셀 위치에서 샘플링되는 측정된 강도 값의 벡터(436)를 묘사한다.
도 22는, 웨이퍼(420)의 이미지의 단일의 주 성분의 값의 윤곽 플롯(contour plot; 440)을 예시한다.
도 23은 y 축 상에서 실제 초점 에러를 그리고 x축 상에서 대응하는 예측된 초점 에러를 나타내는 시뮬레이션 결과의 플롯(441)을 묘사한다.
도 24는 도 23에서 묘사되는 각각의 측정 지점과 관련되는 나머지 초점 에러 값(residual focus error value)을 나타내는 플롯(442)을 묘사한다.
도 25a 및 도 25b는, 주목하는 파라미터의 이미지 기반의 측정에 대해 유리할 수도 있는 아홉 개의 셀 계측 타겟을 나타낸다.
도 26은, 도 25a 및 도 25b에서 묘사되는 아홉 개의 셀 계측 타겟의 인스턴스를 각각 포함하는 다수의 측정 사이트의 시뮬레이팅된 이미지(445)를 묘사한다.
도 27a 내지 도 27c는, 각각, 637 나노미터, 523 나노미터, 및 467 나노미터에서의 측정과 관련되는 측정 데이터에 대한, y 축 상에서 실제 초점 에러를 그리고 x 축 상에서 대응하는 예측된 초점 에러를 나타내는 시뮬레이션 결과를 예시하는 플롯(446-448)을 각각 묘사한다.
도 28a 내지 도 28c는, 각각, 637 나노미터, 523 나노미터, 및 467 나노미터에서의 측정과 관련되는 측정 데이터에 대한, y 축 상에서 실제 노출량(dosage) 에러를 그리고 x 축 상에서 대응하는 예측된 노출량 에러를 나타내는 시뮬레이션 결과를 예시하는 플롯(449-451)을 각각 묘사한다.
도 29는, 467, 523, 및 467 나노미터에서의 측정과 관련되는 결합된 측정 데이터에 대한, y 축 상에서 실제 초점 에러를 그리고 x 축 상에서 대응하는 예측된 초점 에러를 나타내는 시뮬레이션 결과의 플롯(452)을 묘사한다.
도 30은, 467, 523, 및 467 나노미터에서의 측정과 관련되는 결합된 측정 데이터에 대한, y 축 상에서 실제 노출량 에러를 그리고 x 축 상에서 대응하는 예측된 노출량 에러를 나타내는 시뮬레이션 결과의 플롯(453)을 묘사한다.
도 31은 본원에서 제시되는 예시적인 방법(400 및 410)에 따라 주목하는 파라미터의 이미지 기반의 측정을 수행하기 위한 시스템(500)을 예시한다.
이제, 배경기술의 예 및 본 발명의 몇몇 실시형태에 대한 참조가 상세히 이루어질 것인데, 본 발명의 예는 첨부의 도면에서 예시된다.
연속적인 리소그래피 프로세스에 의해 기판 상에 형성되는 구조체 사이의 오버레이 에러를 측정하기 위한 방법 및 시스템이 본원에서 제시된다. 오버레이 에러는 0차 산란측정 신호에 기초하여 측정된다. 하나의 양태에서, 오버레이 측정을 수행하기 위해 단지 두 개의 오버레이 타겟만이 활용된다. 대칭성 신호를 생성하기 위해, 두 개의 상이한 방위각(azimuth angle)에서 각각의 타겟으로부터 산란측정 데이터가 수집된다. 대칭성 신호는 오버레이 에러를 결정하기 위해 사용된다.
도 1은 피측정 시료(10) 상에 배치되는 오버레이 타겟(100 및 110)을 묘사한다. 오버레이 타겟(100)은 오버레이 간격 OVERLAY1만큼 서로로부터 오프셋되는 두 개의 격자 구조체(101 및 105)를 포함한다. 도 1에서 묘사되는 실시형태에서, 격자 구조체(105)는 상부 레이어 상에 배치되고(즉, 노출됨) 격자 구조체(101)는 상부 레이어 아래의 레이어에 배치된다(즉, 매립됨). 개재하는(intervening) 레이어(102-104)는 격자 구조체(101)를 격자 구조체(105)로부터 분리한다. 마찬가지로, 오버레이 타겟(110)은 오버레이 간격 OVERLAY2만큼 서로로부터 오프셋되는 두 개의 격자 구조체(111 및 115)를 포함한다. 도 1에서 묘사되는 실시형태에서, 격자 구조체(115)는 상부 레이어 상에 배치되고(즉, 노출됨) 격자 구조체(111)는 상부 레이어 아래의 레이어에 배치된다(즉, 매립됨). 개재하는 레이어(112-114)는 격자 구조체(111)를 격자 구조체(115)로부터 분리한다.
하나의 양태에서, 오버레이 타겟(100 및 110) 각각은, 서로 반대 방향으로 오프셋되는 프로그래밍된 오버레이를 포함한다. 도 1에서 묘사되는 바와 같이, 격자 구조체(105)는, 전체 오버레이 간격인 OVERLAY1만큼 격자 구조체(101)에 대해 오프셋된다. 이 간격은 프로그래밍된 오버레인 간격인 d 및 측정될 오버레이 간격을 포함한다. 도 1에서 묘사되는 바와 같이, 프로그래밍된 오버레이는 -X 방향으로 연장한다. 따라서, 격자 구조체(105)와 격자 구조체(101) 사이의 실제 오버레이(OVERLAY1)는 식 (1)을 참조로 설명된다.
Figure 112022076206953-pat00001
격자 구조체(115)는 격자 구조체(111)에 대해 총 오버레이 간격인 OVERLAY2만큼 오프셋된다. 이 간격은 프로그래밍된 오버레인 간격인 d 및 측정될 오버레이 간격을 포함한다. 도 1에서 묘사되는 바와 같이, 프로그래밍된 오버레이는, 오버레이 타겟(100)의 것과는 반대로, +X 방향으로 연장한다. 따라서, 격자 구조체(115)와 격자 구조체(111) 사이의 실제 오버레이(OVERLAY2)는 식 (2)를 참조로 설명된다.
Figure 112022076206953-pat00002
도 4는 기판(131), 격자 구조체(132), 충전 레이어(fill layer)(133), 및 제1 패턴화된 구조체(132)로부터 x 방향으로 공간적으로 오프셋되는 다른 격자 구조체(134)를 포함하는 다중 레이어 오버레이 타겟(130)을 묘사한다. 패턴화된 구조체(132 및 134) 양자의 피치는 간격 P이다. 대부분의 경우에, 오버레이 에러에 대한 감도는 오버레이가 존재하는 않을 때 그 최소치에 있다. 묘사된 실시형태에서, 패턴화된 구조체(134)는, 측정 감도를 증가시키기 위해, 프로그래밍된 오버레이 오프셋 간격 d만큼 패턴화된 구조체(132)로부터 오프셋된다. 도 4에서 묘사되는 실시형태에서, 오버레이 에러는, 패턴화된 구조체(134)의 프로그래밍된 오버레이 오프셋에 대한 패턴화된 구조체(134)의 변위이다.
본 발명의 범위 내에서 다양하고 상이한 계측 타겟이 고려될 수도 있다. 몇몇 실시형태에서, 계측 타겟은 종래의 라인/공간 타겟에 기초한다. 몇몇 다른 실시형태에서, 계측 타겟은 디바이스형 구조체(device-like structure)이다. 몇몇 다른 실시형태에서, 계측 타겟은 실제 디바이스 그 자체이고, 따라서 어떤 특수한 계측 타겟도 활용되지 않는다. 활용되는 계측 타겟의 타입에 무관하게, 본원에서 설명되는 바와 같이 두 개의 타겟을 갖는 오버레이 측정을 수행하기 위해서는, 반대 방향의 오프셋을 갖는 오버레이 타겟의 세트가 제공되어야 한다.
몇몇 예에서, 오버레이 타겟은 제조 웨이퍼의 스크라이브라인(scribeline)에 위치한다. 몇몇 다른 예에서, 오버레이 타겟은 액티브 다이 영역에 위치한다. 몇몇 실시형태에서, 측정은, 소형 스팟(SE)을 사용하여, 실제 디바이스의 주기적 영역에서, 예를 들면, 10mm×10mm 영역에서 수행된다.
몇몇 실시형태에서, x 및 y 방향 둘 다에서 오프셋 패턴을 갖는 격자 타겟이 제공된다. 예를 들면, 도 5는, 도 4를 참조로 설명되는 바와 같은 두 개의 오프셋된 격자 구조체를 구비하는 오버레이 타겟(140)을 묘사한다. 도 5에서 묘사되는 실시형태에서, 격자 구조체는 x 방향으로 오프셋된다. 도 6은, 도 4를 참조로 설명되는 바와 같은 두 개의 오프셋된 격자 구조체를 구비하는 오버레이 타겟(150)을 묘사한다. 도 6에서 묘사되는 실시형태에서, 격자 구조체는 y 방향으로 오프셋된다.
몇몇 실시형태에서, 반대의 그리고 직교하는 방향으로 오프셋되는 다수의 상이한 타겟이 각각의 다이에서 활용된다. 이것은, 측정 정확도에 대한 하부 레이어의 효과를 최소화하는데 유리할 수도 있다.
추가 양태에서, 산란측정 신호(예를 들면, 스펙트럼)가 두 개의 상이한 방위각에서 오버레이 타겟(100 및 110)으로부터 수집된다. 도 1에서 묘사되는 실시형태에서, 산란측정 신호(106)는 45도의 방위각에서 오버레이 타겟(100)으로부터 수집되고 산란측정 신호(107)는 225도의 방위각에서 오버레이 타겟(100)으로부터 수집된다. 마찬가지로, 산란측정 신호(116)는 45도의 방위각에서 오버레이 타겟(110)으로부터 수집되고 산란측정 신호(117)는 225도의 방위각에서 오버레이 타겟(110)으로부터 수집된다.
도 8은 225도의 방위각에서 오버레이 타겟(100)의 측정과 관련되는 수집된 산란측정 신호(171) 및 45도의 방위각에서 오버레이 타겟(100)의 측정과 관련되는 산란측정 신호(172)를 포함하는 플롯(170)을 묘사한다. 도 9는, 단순히 산란측정 신호(172) 및 산란측정 신호(171) 사이의 차이인 차동 신호(181)의 플롯(180)을 묘사한다. 도 8에서 예시되는 바와 같이, 45도 및 225도의 방위각에서 수집되는 특정한 타겟으로부터의 산란측정 신호는 대칭적이다. 도 9에서 예시되는 바와 같이, 이들 신호 사이의 차이는 사인파 파형에 근사한다. 파형의 중심 근처 영역에서, 차동 신호는 근사적으로 선형이다. 작은 프로세스 윈도우를 가정하면, 오버레이는 선형 영역의 두 개의 지점에 의해 추정된다. 이들 두 지점(예를 들면, 두 개의 상이한 차동 신호)는, 상이한 프로그래밍된 오버레이 오프셋을 각각 구비하는 두 개의 상이한 타겟(예를 들면, 오버레이 타겟(100 및 110))으로부터 수집되는 데이터로부터 도출된다. 도 1을 참조로 설명되는 실시형태에서, 오버레이 타겟(100)은 프로그래밍된 오프셋 d를, 오버레이 타겟(110)의 동일한 프로그래밍된 오프셋 d의 것과는 반대 방향에서 포함한다. 차동 신호인 DA는, 도 8 및 도 9를 참조로 설명되는 바와 같이, 산란측정 신호(106 및 107)로부터 계산된다. 마찬가지로, 차동 신호인 DB는 산란측정 신호(116 및 117)로부터 계산된다. 오버레이 타겟(100 및 110)과 각각 관련되는 차동 신호 DA 및 DB에 기초하여, 미지의 오버레이는 식 (3)에 의해 설명되는 바와 같이 계산된다.
Figure 112022076206953-pat00003
도 1을 참조로 설명되는 측정 시나리오 및 도 8 및 도 9를 참조로 설명되는 결과적으로 나타나는 신호는 비제한적인 예로서 제공된다. 일반적으로, 오프셋 간격은 상이할 수도 있고 측정 응답은 일반적인 수학적 함수에 의해 근사될 수도 있다. 마찬가지로, 오버레이와 차동 신호 사이의 관계는 일반적인 수학적 함수에 의해 또한 설명될 수도 있다.
또한, 도 1을 참조로 설명되는 측정 시나리오는, 하나의 차원(즉, x 방향)에서의 오프셋을 가리킨다. 그러나, 일반적으로, 오버레이는 2차원적으로(예를 들면, x 및 y 방향으로) 프로그래밍될 수도 있다.
상기 예에서, 오버레이 타겟으로부터 수집되는 산란측정 신호는 단일의 파장을 포함했다. 그러나, 일반적으로, 산란측정 신호는 측정 감도를 향상시키기 위해 상이한 파장의 범위에 걸쳐 수집된다. 추가 양태에서, 전술한 오버레이 측정 기술은 다수의 파장을 포함하는 산란측정 신호에 적용된다.
하나의 예에서, 상이한 신호는 전술한 바와 같이 각각의 파장에서 계산되고 결과적으로 나타나는 차동 신호는, 각각의 오버레이 타겟과 관련되는 합산된 차동 신호에 도달하도록 합산된다. 합산된 차동 신호는 식 (3)에 의해 설명되는 바와 같이 미지의 오버레이를 계산하기 위해 사용되는데, 여기서 DA 및 DB는 두 개의 타겟과 관련되는 합산된 차동 신호이다. 도 10은, 45도 및 225도의 방위각 및 다수의 파장에서의 오버레이 타겟(100)의 측정과 관련되는 합산된 차동 신호의 플롯(190)을 묘사한다.
다른 예에서, 차동 신호는 전술한 바와 같이 상이한 파장에서 계산되고 결과적으로 나타나는 차동 신호는 상이한 파장에 대한 상이한 가중치를 가지고 합산된다. 하나의 예에서, 가중치는, 사인파 함수에 대해 알려진 오버레이를 갖는 타겟의 세트에 대한 차동 신호의 주 성분의 선형 피팅성(linear fit)에 기초하여 결정된다.
몇몇 실시형태에서, 오버레이의 변동은, 반도체 웨이퍼(예를 들면, DOE 웨이퍼)의 표면 상에서 실험 계획법(DOE)으로 편제된다(organized). 이 방식에서, 측정 사이트는, 상이한 오버레이 값과 대응하는 웨이퍼 표면 상의 상이한 위치를 조사한다(interrogate). 하나의 예에서, DOE 패턴은 오버레이 에러 패턴이다. 통상적으로, 오버레이 에러 패턴을 나타내는 DOE 웨이퍼는 측정 사이트의 그리드 패턴을 포함한다. 하나의 그리드 방향(예를 들면, x 방향)에서, 오버레이는 x 방향으로 변하고 한편 y 방향의 오버레이는 일정하게 유지된다. 직교 그리드 방향(예를 들면, y 방향)에서, 오버레이 에러는 y 방향으로 변하고 한편 오버레이 에러는 x 방향으로 일정하게 유지된다. 이 방식에서, DOE 웨이퍼로부터 수집되는 산란측정 데이터는, x 및 y 방향 둘 다에서 오버레이의 알려진 변동과 관련되는 데이터를 포함한다. 도 7은, 오버레이 에러에서의 알려진 변동을 나타내는 타겟(예를 들면, 타겟(161)의 그리드를 갖는 DOE 웨이퍼(160)를 묘사한다. x 방향 오버레이 에러는, x 방향에서의 DOE 웨이퍼(160)의 위치의 함수로서 변한다. y 방향 오버레이 에러는, y 방향에서의 DOE 웨이퍼(160)의 위치의 함수로서 변한다. 몇몇 예에서, x 및 y 오버레이 에러는 -20 나노미터에서부터 20 나노미터까지의 범위에 이른다. 몇몇 다른 예에서, x 및 y 오버레이 에러는 -80 나노미터에서부터 80 나노미터까지의 범위에 이른다. 일반적으로, 본 특허 문헌의 범위 내에서는 임의의 오버레이 에러 범위가 고려될 수도 있다.
각각의 파장에서 DOE 측정 세트의 트레이닝 타겟의 각각에 대해 차동 산란측정 신호가 수집된다. 수학적 변환에 기초하여 차동 신호의 각각의 세트로부터 다수의 주요 피쳐가 추출된다. 변환은, 원래의 측정 공간으로부터의 원래의 신호를, 감소된 세트의 신호(예를 들면, 주 좌표)에 의해 측정 데이터가 정확하게 표현될 수 있는 다른 수학적 도메인으로 매핑한다. 변환 그 자체는 트레이닝 데이터에서의 오버레이의 변동에 기초하여 결정된다. 각각의 측정된 신호는, 트레이닝 데이터의 세트의 상이한 오버레이 측정치에 대해 변하는 원래의 신호로서 취급된다. 변환은 모든 차동 신호에, 또는 차동 신호의 서브셋에 적용될 수도 있다. 몇몇 예에서, 분석을 받게 되는 차동 신호는 랜덤하게 선택된다. 몇몇 다른 예에서, 분석을 받게 되는 차동 신호는, 오버레이에서의 변환에 대한 자신의 상대적으로 높은 감도로 인해 선택된다. 예를 들면, 오버레이에서의 변화에 민감하지 않은 신호는 무시될 수도 있다.
비제한적인 예로서, 변환은, 주성분 분석(principal component analysis; PCA) 모델, 커널 PCA 모델, 비선형 PCA 모델, 독립 성분 분석(independent component analysis; ICA) 모델 또는 딕셔너리를 사용하는 사용하는 다른 차원 감소 방법, 이산 코사인 변환(discrete cosine transform; DCT) 모델, 고속 푸리에 변환(fast Fourier transform; FFT) 모델, 웨이브릿 모델, 등등 중 임의의 것을 사용하여 달성될 수도 있다.
주어진 트레이닝 데이터의 경우, 선형 모델을 오버레이의 사인파 함수로 피팅하기 위해, 측정된 타겟에 대한 차동 신호의 주 성분이 사용된다. 사인파 함수는 격자 타겟의 피치와 동일한 주기 및 식 (4)에서 예시되는 바와 같은 제로 위상을 갖는다.
Figure 112022076206953-pat00004
PCi는 주 성분이고, ε은 제로 평균 가우시안 노이즈이고, ai는 트레이닝 데이터(즉, 알려진 오버레이 값)로부터 학습되는 선형 모델 계수이다. 도 11은 식 (4)에 따라 DOE 측정과 관련되는 차동 신호의 주 성분과 피팅되는 사인파 함수를 예시하는 플롯(200)을 묘사한다. 피팅 이후, 오버레이는, 식 (5)에 기초하여 상이한 파장에서 계산되는 차동 신호의 주 성분에 기초하여 계산된다.
Figure 112022076206953-pat00005
전술하는 사인파 모델은 비제한적인 예로서 제공된다. 일반적으로, 오프셋 간격은 상이할 수도 있고 측정 응답은 일반적인 수학적 함수에 의해 근사될 수도 있다. 마찬가지로, 주 성분은 임의의 적절한 수학적 함수에 피팅될 수도 있다.
많은 예에서, 오버레이의 측정치는 비-오버레이 관련 비대칭성(non-overlay related asymmetry)에 의해 영향을 받는다. 이들 비대칭성 중 일부는 오버레이 타겟의 상부 또는 하부 격자에 적용되는 프로세스로부터 유래한다. 서로 밀접하게 위치하는 구조체는 동일한 프로세스에 의해 마찬가지로 영향을 받고, 따라서 이들은 구조체에서의 프로세스 유도 변동에 관해 동일한 정보를 공유한다.
추가 양태에서, 구조적 비대칭성에 대한 측정 감도를 감소시키기 위해, 본원에서 설명되는 오버레이 타겟과 연계하여 추가적인 계측 타겟이 사용된다. 사실상, 이들 추가적인 계측 타겟으로부터 수집되는 측정 데이터는, 오버레이 측정에 대한 비대칭성의 효과를 상관해제하기(de-correlate) 위해 사용된다.
하나의 예에서, 산란측정 데이터는 도 1을 참조로 설명되는 바와 같이 상이한 방위각에서 오버레이 타겟으로부터 수집된다. 그러나, 또한, 산란측정 데이터는 동일한 방위각에서 추가적인 계측 타겟으로부터 수집된다. 계측 타겟은 오버레이 정보를 포함하지 않지만, 그러나, 그것은, 오버레이 타겟과 동일한 프로세스 유도 비대칭성을 나타내는 하부 격자 구조체를 포함한다.
도 12는, 프로세스 유도 비대칭성을 포함하는 하부 격자 피쳐(211) 및 상부 격자 피쳐(212)를 포함하는 오버레이 타겟(210)을 묘사한다. 오버레이 타겟(210)은, 도 1을 참조로 설명되는 바와 같은 두 개의 타겟 차동 측정에서 수반되는 오버레이 타겟 중 하나이다. 도 12에서 묘사되는 예에서, 계측 타겟(220)은 오버레이 타겟(210) 가까이에 배치되고, 따라서 유사한 프로세스 유도 변동을 겪는다. 계측 타겟(220)은, 오버레이 타겟(210)의 하부 격자 피쳐(211)와 동일한 프로세스 유도 비대칭성을 갖는 하부 격자 피쳐(221)를 포함한다. 그러나, 계측 타겟(220)의 레이어(222)는 상부 격자 구조체를 포함하지 않는다. 따라서, 계측 타겟(220)은 어떠한 오버레이 정보도 포함하지 않는다.
구조적 비대칭성을 유도하는 프로세스 유도 변동은, 하나 이상의 반도체 웨이퍼(예를 들면, DOE 웨이퍼) 상에서 실험 계획법(DOE) 패턴으로 편제된다. 이 방식에서, 측정 사이트는, 상이한 프로세스 파라미터 값과 대응하는 웨이퍼 표면 상의 상이한 위치를 조사한다.
산란측정 신호는, 각각의 방위각에서 DOE 측정 세트의 오버레이 타겟의 각각 및 계측 타겟(예를 들면, 계측 타겟(220))의 각각에 대한 다양한 측정 사이트에서 수집된다. 수학적 변환에 기초하여 산란측정 신호의 각각의 세트로부터, 다수의 주요 피쳐가 추출된다. 변환은, 원래의 측정 공간의 계측 타겟으로부터의 원래의 신호 S(M1)를, 감소된 세트의 신호(예를 들면, 주 좌표)에 의해 측정 데이터가 정확하게 표현되는 다른 수학적 도메인 S'(M1)로 매핑한다. 변환 F1은 계측 타겟의 원래의 측정치를, 식 (6)에서 예시되는 바와 같이 주 성분의 세트로 매핑한다.
Figure 112022076206953-pat00006
동일한 변환 F1은, 원래의 측정 공간의 오버레이 타겟 중 하나 이상으로부터의 원래의 신호 S(O)를, 동일한 수학적 도메인 S'(O)로 매핑하기 위해 사용된다. 변환 F1은 계측 타겟의 원래의 측정치를, 식 (7)에서 예시되는 바와 같이 주 성분의 세트로 매핑한다.
Figure 112022076206953-pat00007
계측 타겟의 주 성분 S'(M1)은 선형 회귀에 의해 오버레이 타겟의 신호 S'(O)로 피팅된다. 이것은 타겟 사이에서 공유되는 공통 정보, 즉, 프로세스 유도 비대칭성을 효과적으로 빼낸다. 남아 있는 잔차(residual) 정보 S*(O)는, 타겟 사이에서 공유되지 않는 오버레이 에러 정보를 포함한다. 식 (8)은, 오버레이 타겟의 신호에 대한 계측 타겟의 산란측정 신호의 선형 피팅성을 예시한다.
Figure 112022076206953-pat00008
결과로서, S*(O)는 오버레이에 관한 정보를 포함하고, 한편 하부 격자의 비대칭성을 포함하는 하부 레이어 변동의 효과는 감소된다. 각각의 방위각에 대한 결과적으로 나타나는 오버레이 신호 S*(O)는, 전술한 바와 같이, 차동 신호를 계산하기 위해 사용된다.
다른 예에서, 산란측정 데이터는 도 1을 참조로 설명되는 바와 같이 상이한 방위각에서 오버레이 타겟으로부터 수집된다. 그러나, 또한, 산란측정 데이터는 동일한 방위각에서 추가적인 계측 타겟으로부터 수집된다. 계측 타겟은 오버레이 정보를 포함하지 않지만, 그러나, 그것은, 오버레이 타겟과 동일한 프로세스 유도 비대칭성을 나타내는 상부 격자 구조체를 포함한다.
도 13은, 프로세스 유도 비대칭성을 포함하는 상부 격자 피쳐(212) 및 하부 격자 피쳐(211)를 포함하는 오버레이 타겟(210)을 묘사한다. 오버레이 타겟(210)은, 도 1을 참조로 설명되는 바와 같은 두 개의 타겟 차동 측정에서 수반되는 오버레이 타겟 중 하나이다. 도 13에서 묘사되는 예에서, 계측 타겟(230)은 오버레이 타겟(210) 가까이에 배치되고, 따라서 유사한 프로세스 유도 변동을 겪는다. 계측 타겟(230)은, 오버레이 타겟(210)의 상부 격자 피쳐(212)와 동일한 프로세스 유도 비대칭성을 갖는 상부 격자 피쳐(231)를 포함한다. 그러나, 계측 타겟(220)은 어떠한 오버레이 정보도 포함하지 않는다.
구조적 비대칭성을 유도하는 프로세스 유도 변동은, 하나 이상의 반도체 웨이퍼(예를 들면, DOE 웨이퍼) 상에서 실험 계획법(DOE) 패턴으로 편제된다. 이 방식에서, 측정 사이트는, 상이한 프로세스 파라미터 값과 대응하는 웨이퍼 표면 상의 상이한 위치를 조사한다.
산란측정 신호는, 각각의 방위각에서 DOE 측정 세트의 오버레이 타겟 및 계측 타겟(예를 들면, 계측 타겟(220))의 각각에 대한 다양한 측정 사이트에서 수집된다. 수학적 변환에 기초하여 산란측정 신호의 각각의 세트로부터, 다수의 주요 피쳐가 추출된다. 변환은, 원래의 측정 공간의 계측 타겟으로부터의 원래의 신호 S(M2)를, 감소된 세트의 신호(예를 들면, 주 좌표)에 의해 측정 데이터가 정확하게 표현되는 다른 수학적 도메인 S'(M2)로 매핑한다. 변환 F2는 계측 타겟의 원래의 측정치를, 식 (9)에서 예시되는 바와 같이 주 성분의 세트로 매핑한다.
Figure 112022076206953-pat00009
동일한 변환 F2는, 원래의 측정 공간의 오버레이 타겟 중 하나 이상으로부터의 원래의 신호 S(O)를, 동일한 수학적 도메인 S'(O)로 매핑하기 위해 사용된다. 변환 F2는 계측 타겟의 원래의 측정치를, 식 (10)에서 예시되는 바와 같이 주 성분의 세트로 매핑한다.
Figure 112022076206953-pat00010
계측 타겟의 주 성분 S'(M2)는 선형 회귀에 의해 오버레이 타겟의 신호 S'(O)로 피팅된다. 이것은 타겟 사이에서 공유되는 공통 정보, 즉, 프로세스 유도 비대칭성을 효과적으로 빼낸다. 남아 있는 잔차 정보 S*(O)는, 타겟 사이에서 공유되지 않는 오버레이 에러 정보를 포함한다. 식 (11)은, 오버레이 타겟의 신호에 대한 계측 타겟의 산란측정 신호의 선형 피팅성을 예시한다.
Figure 112022076206953-pat00011
결과로서, S*(O)는 오버레이에 관한 정보를 포함하고, 한편 상부 격자의 비대칭성을 포함하는 하부 레이어 변동의 효과는 감소된다. 각각의 방위각에 대한 결과적으로 나타나는 오버레이 신호 S*(O)는, 전술한 바와 같이, 차동 신호를 계산하기 위해 사용된다.
또 다른 예에서, 산란측정 데이터는 도 1을 참조로 설명되는 바와 같이 상이한 방위각에서 오버레이 타겟으로부터 수집된다. 그러나, 또한, 산란측정 데이터는 동일한 방위각에서 두 개의 추가적인 계측 타겟으로부터 수집된다. 계측 타겟은 오버레이 정보를 포함하지 않지만, 그러나 계측 타겟은, 오버레이 타겟과 동일한 프로세스 유도 비대칭성을 나타내는 상부 격자 구조체 및 하부 격자 구조체를 각각 포함한다.
이 방식에서, 하나의 계측 타겟은 하부 격자 비대칭성에 관한 정보를 오버레이 타겟과 공유하고, 다른 계측 타겟은 상부 격자 비대칭성에 관한 정보를 오버레이 타겟과 공유한다. 또한, 모두 세 개의 타겟은 다른 프로세스 유도 변동에 관한 정보를 공유한다.
도 14는, 전술한 바와 같은 프로세스 유도 비대칭성을 포함하는 상부 격자 피쳐(212) 및 하부 격자 피쳐(211)를 포함하는 오버레이 타겟(210)을 묘사한다. 도 14에서 묘사되는 예에서, 계측 타겟(220 및 230)은 오버레이 타겟(210) 가까이에 배치되고, 따라서 유사한 프로세스 유도 변동을 겪는다. 계측 타겟(220)은, 오버레이 타겟(210)의 하부 격자 피쳐와 동일한 프로세스 유도 비대칭성을 갖는 하부 격자 피쳐를 포함한다. 계측 타겟(230)은, 오버레이 타겟(210)의 상부 격자 피쳐와 동일한 프로세스 유도 비대칭성을 갖는 상부 격자 피쳐를 포함한다. 그러나, 계측 타겟의 어느 것도 오버레이 정보를 전혀 포함하지 않는다. 이 예에서, 도 12 및 도 13과 관련하여 설명되는 비대칭성 감소 계산은, 각각의 방위각에 대해 감소된 프로세스 유도 비대칭성을 갖는 오버레이 신호에 도달하기 위해, 임의의 순서로, 또는 함께 적용될 수 있다. 이들 신호는, 그 후, 전술한 바와 같이 차동 신호 및 오버레이를 계산하기 위해 사용된다.
추가 양태에서, 다수의 파장에 기초하여 오버레이를 추정하기 위한 그리고 프로세스 유도 비대칭성에 대해 감소된 감도를 갖는 방법 및 시스템은 오버레이 측정 정확도를 향상시키기 위해 결합된다.
도 3은 본원에서 제시되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 시스템(300)을 예시한다. 도 3에서 도시되는 바와 같이, 시스템(300)은 시료(301)의 하나 이상의 구조체의 분광 타원편광 측정(spectroscopic ellipsometry measurement)을 수행하기 위해 사용될 수도 있다. 이 양태에서, 시스템(300)은 조명기(illuminator; 302) 및 분광기(spectrometer; 304)를 구비하는 분광 타원편광기(spectroscopic ellipsometer)를 포함할 수도 있다. 시스템(300)의 조명기(302)는 선택된 파장 범위(예를 들면, 100-2500 nm)의 조명을 생성하여, 시료(301)의 표면 상에 배치되는 구조체로 지향시키도록 구성된다. 그 다음, 분광기(304)는 시료(301)의 표면으로부터 반사되는 조명을 수신하도록 구성된다. 조명기(302)로부터 방출되는 광은 편광 상태 생성기(307)를 사용하여 편광되어 편광된 조명 빔(306)을 생성한다는 것을 더 유의해야 한다. 시료(301) 상에 배치되는 구조체에 의해 반사되는 방사선(radiation)은 편광 상태 분석기(309)를 통과하여 분광기(304)로 전달된다. 분광기(304)에 의해 집광 빔(collection beam)(308)으로 수신되는 방사선은 편광 상태와 관련하여 분석되어, 분석기를 통과한 방사선의 분광기에 의한 스펙트럼 분석을 허용한다. 이들 스펙트럼(311)은 구조체의 분석을 위해 컴퓨팅 시스템(330)으로 전달된다.
도 3에서 묘사되는 바와 같이, 시스템(300)은 단일의 측정 기술(single measurement technology)(즉, SE)을 포함한다. 그러나, 일반적으로, 시스템(300)은 임의의 수의 상이한 측정 기술을 포함할 수도 있다. 비제한적인 예로서, 시스템(300)은 분광 타원편광기(spectroscopic ellipsometer)(뮬러 매트릭스 타원편광법(Mueller matrix ellipsometry)을 포함함), 분광 반사계(spectroscopic reflectometer), 분광 산란계(spectroscopic scatterometer), 오버레이 산란계(overlay scatterometer), 각도 분해 빔 프로파일 반사계(angular resolved beam profile reflectometer), 편광 분해 빔 프로파일 반사계(polarization resolved beam profile reflectometer), 빔 프로파일 반사계(beam profile reflectometer), 빔 프로파일 타원편광기(beam profile ellipsometer), 임의의 단일의 또는 다수의 파장 타원편광기, 또는 이들의 임의의 조합으로서 구성될 수도 있다. 또한, 일반적으로, 상이한 측정 기술에 의해 수집되고 본원에서 설명되는 방법에 따라 분석되는 측정 데이터는, 다수의 기술을 통합하고 있는 하나의 툴보다는, 다수의 툴로부터 수집될 수도 있다.
추가 실시형태에서, 시스템(300)은 본원에서 설명되는 방법에 따라 오버레이 측정을 수행하도록 활용되는 하나 이상의 컴퓨팅 시스템(330)을 포함할 수도 있다. 하나 이상의 컴퓨팅 시스템(330)은 분광기(304)에 통신 가능하게 커플링될 수도 있다. 하나의 양태에서, 하나 이상의 컴퓨팅 시스템(330)은 시료(301)의 구조체의 측정과 관련되는 측정 데이터(311)를 수신하도록 구성된다.
본 개시의 전체에 걸쳐 설명되는 다양한 단계는 단일의 컴퓨터 시스템(330), 또는, 대안적으로, 다수의 컴퓨터 시스템(330)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 분광 타원평광기(304)와 같은 시스템(300)의 상이한 서브시스템은 본원에서 설명되는 단계의 적어도 일부를 실행하기에 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 상기 언급된 설명은 본 발명에 대한 제한으로서 해석되어선 안 되며 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(330)은 본원에서 설명되는 방법 실시형태 중 임의의 실시형태의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
또한, 컴퓨터 시스템(330)은 기술 분야에서 공지된 임의의 방식으로 분광기(304)에 통신 가능하게 커플링될 수도 있다. 예를 들면, 하나 이상의 컴퓨팅 시스템(330)은 분광기(304)와 관련되는 컴퓨팅 시스템에 커플링될 수도 있다. 다른 예에서, 분광기(304)는 컴퓨터 시스템(330)에 커플링되는 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.
계측 시스템(300)의 컴퓨터 시스템(330)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 시스템(예를 들면, 분광기(304) 및 등등)의 서브시스템으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 시스템(300)의 다른 서브시스템과 컴퓨터 시스템(330) 사이의 데이터 링크로서 기능할 수도 있다.
컴퓨터 시스템(330)은, 유선부 및/또는 무선무를 포함할 수도 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력, 모델링 결과, 등등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 컴퓨터 시스템(330)과 다른 시스템(예를 들면, 메모리 온보드 계측 시스템(300), 외부 메모리, 또는 다른 외부 시스템) 사이의 데이터 링크로서 기능할 수도 있다. 예를 들면, 컴퓨팅 시스템(330)은 데이터 링크를 통해 저장 매체(즉, 메모리(332) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수도 있다. 예를 들면, 분광기(304)를 사용하여 획득되는 스펙트럼 결과는 영구적인 또는 반영구적인 메모리 디바이스(예를 들면, 메모리(332) 또는 외부 메모리)에 저장될 수도 있다. 이와 관련하여, 스펙트럼 결과는 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(가져오기)될 수도 있다. 또한, 컴퓨터 시스템(330)은 송신 매체를 통해 데이터를 다른 시스템으로 전송할 수도 있다. 예를 들면, 컴퓨터 시스템(330)에 의해 결정되는 오버레이 값은 전달되어 외부 메모리에 저장될 수도 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 엑스포트(내보내기)될 수도 있다.
컴퓨팅 시스템(330)은, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 기술 분야에서 공지되어 있는 임의의 다른 디바이스를 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 일반적으로, 용어 "컴퓨팅 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수도 있다.
본원에서 설명되는 방법과 같은 방법을 구현하는 프로그램 명령어(334)는, 와이어, 케이블, 또는 무선 전송 링크와 같은 송신 매체를 통해 송신될 수도 있다. 예를 들면, 도 3에서 예시되는 바와 같이, 메모리(332)에 저장되는 프로그램 명령어(334)는 버스(333)를 통해 프로세서(331)로 송신된다. 프로그램 명령어(334)는 컴퓨터 판독가능 매체(예를 들면, 메모리(332))에 저장된다. 예시적인 컴퓨터 판독가능 매체는 리드 온리 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
도 2는 본 발명의 도 3에서 예시되는 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(120)을 예시한다. 하나의 양태에서, 방법(120)의 데이터 프로세싱 블록은, 컴퓨팅 시스템(330), 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 실행될 수도 있다는 것이 인식된다. 본원에서는, 계측 시스템(300)의 특정한 구조적 양태가 제한을 나타내지 않으며 예시적인 것으로서만 해석되어야 한다는 것이 인식된다.
블록 121에서, 제1 레이어에 위치하는 제1 격자 구조체 및 후속 레이어에 위치하는 제2 격자 구조체를 구비하는 제1 오버레이 타겟이 계측 시스템(300)에 제공된다. 제2 격자 구조체는 제1 방향으로 알려진 오프셋 간격만큼 제1 격자 구조체로부터 오프셋된다.
블록 122에서, 제1 레이어에 위치하는 제1 격자 구조체 및 후속 레이어에 위치하는 제2 격자 구조체를 구비하는 제2 오버레이 타겟이 계측 시스템(300)에 제공된다. 제2 격자 구조체는, 제1 방향과 반대인 제2 방향으로 알려진 오프셋 간격만큼 오프셋된다.
블록 123에서, 제1 방위각에서 제1 오버레이 타겟의 측정과 관련되는 제1 양의 산란측정 데이터(a first amount of scatterometry data)를 그리고 제2 방위각으로부터 제1 오버레이 타겟의 측정과 관련되는 제2 양의 산란측정 데이터가 컴퓨팅 시스템(330)에 의해 수신된다.
블록 124에서, 제1 양의 산란측정 데이터와 제2 양의 산란측정 데이터 사이의 차이에 기초하여, 제1 오버레이 타겟에 대한 제1 차동 측정 신호가 결정된다.
블록 125에서, 제1 방위각에서 제2 오버레이 타겟의 측정과 관련되는 제3 양의 산란측정 데이터가 그리고 제2 방위각으로부터 제2 오버레이 타겟의 측정과 관련되는 제4 양의 산란측정 데이터가 컴퓨팅 시스템(330)에 의해 수신된다.
블록 126에서, 제3 양의 산란측정 데이터와 제4 양의 산란측정 데이터 사이의 차이에 기초하여, 제2 오버레이 타겟에 대한 제2 차동 측정 신호가 결정된다.
블록 127에서, 제1 및 제2 차동 측정 신호에 적어도 부분적으로 기초하여, 제1 및 제2 오버레이 타겟의 제1 격자 구조체와 제1 및 제2 오버레이 타겟의 제2 격자 구조체 사이의 오버레이 에러가 결정된다.
다른 추가 양태에서, 오버레이 측정을 위해, 다수의 상이한 측정 기술의 조합에 의해 수행되는 측정으로부터 도출되는 측정 데이터가 수집된다. 다수의 상이한 측정 기술과 관련되는 측정 데이터의 사용은, 신호의 결합된 세트에서 정보 컨텐츠를 증가시키고 프로세스 또는 다른 파라미터 변동에 대한 오버레이 상관(correlation)을 감소시킨다. 측정 데이터는, 다수의 상이한 측정 기술의 임의의 조합에 의해 수행되는 측정으로부터 도출될 수도 있다. 이 방식에서, 상이한 측정 사이트는, 오버레이 에러의 추정에 이용가능한 측정 정보를 향상시키기 위해, 다수의 상이한 측정 기술(예를 들면, 광학적 SE, 광학적 SR, 2D-BPR, 등등)에 의해 측정될 수도 있다.
일반적으로, 측정 데이터가 벡터 형태이기 때문에 본 특허 문헌의 범위 내에서 임의의 측정 기술, 또는 두 개 이상의 측정 기술의 조합이 고려될 수도 있다. 본원에서 설명되는 바와 같은 기술이 데이터의 벡터에 대해 동작하기 때문에, 데이터가 2차원 데이터이든지, 1차원 데이터이든지, 또는 심지어 단일 지점 데이터이든지에 무관하게, 다수의 상이한 계측으로부터의 데이터를 사슬연결하는(concatenate) 것이 가능하다.
본원에서 설명되는 기술에 따른 분석을 위한 데이터를 제공할 수도 있는 예시적인 측정 기술은, 뮬러 매트릭스 타원편광법을 비롯한 분광 타원편광법, 분광 반사측정법(spectroscopic reflectometry), 분광 산란측정법(spectroscopic scatterometry), 산란측정법 오버레이(scatterometry overlay), 빔 프로파일 반사측정법(beam profile reflectometry), 각도 분해 및 편광 분해 둘 모두의 빔 프로파일 타원편광법, 단일의 또는 다수의 이산 파장 타원편광법, TSAXS(transmission small angle x-ray scatterometer; 송신 소각 x 레이 산란계), SAXS(small angle x-ray scattering; 소각 x레이 산란), GISAXS(grazing incidence small angle x-ray scattering; 스침각 입사 소각 산란), WAXS(wide angle x-ray scattering; 광각 x 레이 산란), XRR(x-ray reflectivity: x 레이 반사율), XRD(x-ray diffraction; x 레이 회절), GIXRD(grazing incidence x-ray diffraction; 스침각 입사 x 레이 회절), HRXRD(high resolution x-ray diffraction; 고분해능 x 레이 회절), XPS(x-ray photoelectron spectroscopy; x 레이 광전자 분광법), XRF(x-ray fluorescence; x 레이 형광), GIXRF(grazing incidence x-ray fluorescence; 스침각 입사 x 레이 형광), x 레이 단층촬영법, 및 x 레이 타원편광법을 포함하지만, 이들로 한정되는 것은 아니다. 일반적으로, 이미지 기반의 계측 기술을 비롯하여, 반도체 구조체의 오버레이의 특성 묘사에 적용가능한 임의의 계측 기술이, 개별적으로, 또는 임의의 조합으로 고려될 수도 있다.
다른 추가 양태에서, 다수의 계측에 의해 측정되는 신호는 프로세스 변동에 대한 감도를 감소시키도록 그리고 오버레이에 대한 감도를 증가시키도록 프로세싱될 수 있다. 몇몇 예에서, 상이한 계측에 의해 측정되는 타겟으로부터의 신호는 서로 감산된다. 몇몇 다른 예에서, 상이한 계측에 의해 측정되는 타겟으로부터의 신호는 한 모델에 피팅되고, 잔차는 본원에서 설명되는 바와 같이 오버레이를 추정하기 위해 사용된다. 하나의 예에서, 두 개의 상이한 계측에 의해 측정되는 타겟으로부터의 신호는, 각각의 측정 결과에서 프로세스 노이즈의 영향을 제거하도록, 또는 상당히 감소시키도록 감산된다. 일반적으로, 프로세스 변동에 대해 감소된 감도를 그리고 주목하는 파라미터에 대해 증가된 감도를 갖는 신호를 결정하기 위해, 상이한 계측에 의해 측정되는 신호 사이에 다양한 수학적 연산이 적용될 수 있다.
일반적으로, 다수의 계측 기술에 의해 각각 측정되는 다수의 타겟으로부터의 신호는, 신호의 결합된 세트에서 정보 컨텐츠를 증가시키고 프로세스 또는 다른 파라미터 변동에 대한 오버레이 상관을 감소시킨다.
다른 추가 양태에서, 본원에서 설명되는 바와 같이 오버레이 측정을 수행하기 위해 활용되는 계측 시스템(예를 들면, 계측 시스템(300))은 적외선 광학 측정 시스템을 포함한다. 이들 실시형태에서, 계측 시스템(300)은 적외선 광원(예를 들면, 아크 램프, 무전극 램프, 레이저 유지 플라즈마(laser sustained plasma; LSP) 소스, 또는 초연속체(supercontinuum) 소스)을 포함한다. 광 스펙트럼의 적외선 영역에서의 더 높게 달성가능한 파워 및 휘도 때문에, 전통적인 램프 소스보다 적외선 초연속체 레이저 소스가 선호된다. 몇몇 예에서, 초연속체 레이저에 의해 제공되는 파워는 불투명 막 레이어를 갖는 오버레이 구조체의 측정을 가능하게 한다.
오버레이 측정에서의 잠재적인 문제점은, 하부 격자까지의 불충분한 광 투과이다. 많은 예에서, 상부 격자와 하부 격자 사이에 투명하지 않은(즉, 불투명한) 막 레이어가 존재한다. 이러한 불투명 막 레이어의 예는, 비정질 카본, 텅스텐 실리사이드(Wsix), 텅스텐, 티타늄 질화물, 비정질 실리콘, 및 다른 금속 및 비금속 레이어를 포함한다. 종종, 가시 범위 및 그 이하의 파장(예를 들면, 250nm와 700nm 사이)으로 제한되는 조명 광은 하부 격자까지 투과하지 않는다. 그러나, 적외선 스펙트럼 및 그 이상의(예를 들면, 700nm보다 더 큰) 조명 광은 종종 불투명한 레이어를 보다 효율적으로 투과한다.
자외선 및 가시 범위에서의 "불투명"의 동작적 정의는, 250-700nm의 파장 범위의 SCOL에 대한 예측된 정밀도가, 필요로 되는 정밀도보다 훨씬 더 나쁜 것이다. 이것은, 제1 패턴과 제2 패턴 사이의 상대적인 포지션을 반송하는 전파 회절 차수의 약화에 기인한다. 700나노미터보다 더 큰(예를 들면, 800-1650nm) 조명 파장으로 SCOL 신호를 측정하는 것은, 흡수가 충분히 적을 때 SCOL 정밀도를 향상시킨다. 700나노미터보다 더 큰 파장을 갖는 조명 광이 활용되는 실시형태에서, 측정 타겟의 설계 피치는 사용가능한 SCOL 신호가 존재하도록 선택된다.
측정된 이미지 기반의 트레이닝 데이터(예를 들면, 실험 계획법(DOE) 웨이퍼로부터 수집되는 이미지)에만 기초하여 이미지 기반의 측정 모델을 생성하기 위한 방법 및 시스템이 본원에서 또한 제시된다. 그 다음, 트레이닝된 이미지 기반의 측정 모델은, 주목하는 하나 이상의 파라미터의 값을 다른 웨이퍼로부터 수집되는 측정된 이미지 데이터로부터 직접적으로 계산하기 위해 사용된다. 통상적으로, 계측 및 검사 애플리케이션에 대해 상이한 측정 시스템이 사용되지만, 그러나, 본원에서 설명되는 방법 및 시스템은 계측 및 검사 애플리케이션 둘 다에 적용가능하다.
하나의 양태에서, 본원에서 설명되는 트레이닝된 이미지 기반의 측정 모델은 이미지 데이터를 입력으로서 직접적으로 수신하고 주목하는 하나 이상의 파라미터의 값을 출력으로서 제공한다. 측정 프로세스를 능률화하는 것에 의해, 계산 및 유저 시간에서의 감소와 함께 예측 결과는 향상된다.
다른 양태에서, 주목하는 파라미터의 값은 디바이스 상의 구조체의 이미지로부터 결정될 수도 있다. 몇몇 실시형태에서, 디바이스 상의 구조체의 이미지는, 본원에서 설명되는 바와 같이 이미지 기반의 측정 모델을 트레이닝시키기 위해 사용된다. 트레이닝된 이미지 기반의 측정 모델은, 그 다음, 주목하는 하나 이상의 파라미터의 값을, 다른 웨이퍼로부터 수집되는 동일한 디바이스 상의 구조체의 이미지로부터 직접적으로 계산하기 위해 사용된다. 이들 실시형태에서, 특수화된 타겟의 사용이 방지된다. 몇몇 다른 실시형태에서, 계측 타겟이 사용되고 타겟 사이즈는 10미크론×10미크론 미만일 수 있다. 계측 타겟이 사용되면, 다수의 타겟이 단일의 이미지로부터 측정될 수 있고 계측 타겟은 하나의 구조체 또는 적어도 두 개의 상이한 구조체를 포함할 수 있다.
몇몇 예에서, 이미지 기반의 측정 모델은 한 시간 미만에 생성될 수 있다. 또한, 단순화된 모델을 활용하는 것에 의해, 측정 시간은 현존하는 이미지 기반의 계측 방법과 비교하여 감소된다. 추가적인 모델링 상세는 미국 특허 공개 공보 제2014/0297211 및 미국 특허 공개 공보 제2014/0316730호에서 설명되는데, 이들 각각의 주제는 참조에 의해 그 전체가 본원에 통합된다.
이미지 기반의 측정 모델을 생성하기 위해 단지 원시 이미지 데이터만을 사용하는 것에 의해, 본원에서 설명되는 바와 같이, 전통적인 이미지 기반의 계측 방법과 관련되는 에러 및 근사치가 감소된다. 또한, 이미지 기반의 측정 모델은, 이미지 기반의 측정 모델이 특정한 계측 시스템으로부터 수집되는 이미지 데이터에 기초하여 트레이닝되고 동일한 계측 시스템으로부터 수집되는 이미지에 기초하여 측정을 수행하도록 사용되기 때문에, 시스템적 에러, 비대칭성, 등등에 민감하지 않다.
일반적으로, 본원에서 설명되는 방법 및 시스템은 각각의 이미지를 전체로서 분석한다. 이미지에서 개개의 피쳐를 인식하는 대신, 각각의 픽셀은, 구조적 파라미터, 프로세스 파라미터, 분산 파라미터, 등등에 관한(또는 이들에 민감한) 정보를 포함하는 개개의 신호로서 간주된다.
도 15는 본 발명의 도 31에서 예시되는 측정 시스템(500)과 같은 측정 시스템에 의한 구현에 적합한 방법(400)을 예시한다. 하나의 양태에서, 방법(400)의 데이터 프로세싱 블록은, 컴퓨팅 시스템(530), 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 실행될 수도 있다는 것이 인식된다. 본원에서는, 측정 시스템(500)의 특정한 구조적 양태가 제한을 나타내지 않으며 예시적인 것으로서만 해석되어야 한다는 것이 인식된다.
블록 401에서, 제1 양의 이미지 데이터가 컴퓨팅 시스템(530)에 의해 수신된다. 제1 양의 이미지 데이터는, 반도체 웨이퍼와 같은 시료의 표면 상에 구성되는 다수의 측정 사이트의 이미지를 포함한다. 시료의 적어도 하나의 주목하는 파라미터의 값은 알려진다. 몇몇 예에서, 주목하는 파라미터는, 기준 계측 시스템(예를 들면, CD-SEM, TEM, AFM, 또는 다른 신뢰할만한 계측 시스템)에 의한 측정에 기초하여 알려진다. 이미지 데이터가 시뮬레이팅되는 예에서, 주목하는 파라미터는 알려진 시뮬레이션 파라미터이다. 몇몇 예에서, 이미지 데이터는, 엄격한 결합파 해석(rigorous coupled wave analysis; RCWA)과 같은 전자기 시뮬레이션 엔진을 사용하여 시뮬레이팅될 수도 있다. 몇몇 다른 예에서, 이미지 데이터는 RCWA 및 프로세스 시뮬레이터 예컨대 미국 캘리포니아 밀피타스(Milpitas)에 소재한 KLA-Tencor Corporation로부터 입수 가능한 PROLITH®를 사용하여 시뮬레이팅될 수도 있다.
주목하는 파라미터는 하나 이상의 프로세스 파라미터, 구조적 파라미터, 분산 파라미터, 또는 레이아웃 파라미터를 포함한다. 측정 사이트의 각각은, 측정 사이트의 각각 내의 동일한 공칭 위치에서 동일한 공칭 구조체를 포함한다. 하나의 예에서, 측정 사이트는, 웨이퍼 표면 전체에 걸쳐 반복적으로 구성되는 반도체 웨이퍼의 필드 영역을 둘러싼다. 몇몇 예에서, 측정 사이트는, 웨이퍼 표면에 걸쳐 반복적으로 구성되는 다이 영역을 둘러싼다. 각각의 측정 사이트가 공칭적으로 동일한 구조체를 포함하지만, 실제에서는, 그리고 모델링 트레이닝의 목적을 위해, 각각의 측정 사이트는 다양한 파라미터(예를 들면, CD, 측벽 각도, 높이, 오버레이, 등등)의 변동을 포함한다.
몇몇 예에서, 제1 양의 이미지 데이터는 각각의 측정 사이트의 단일의 이미지를 포함한다. 각각의 측정 사이트의 각각의 이미지는 각각의 픽셀과 관련되는 단일의 측정 신호 값을 포함한다. 하나의 예에서, 단일의 측정 값은, 측정 시스템 설정(예를 들면, 파장, 편광, 입사각, 방위각, 등등)의 특정한 세트에서 이미징 반사계에 의해 측정되는 각각의 픽셀의 위치에서의 반사율이다.
몇몇 다른 예에서, 제1 양의 이미지 데이터는 동일한 측정 사이트의 다수의 이미지를 포함한다. 각각의 측정 사이트의 이미지의 각각은, 각각의 픽셀과 관련되는 단일의 측정 신호 값을 포함한다. 따라서, 다수의 측정 신호 값이 각각의 픽셀에 대해 측정된다. 일반적으로, 각각의 측정 사이트의 이미지의 각각은, 상이한 설정(예를 들면, 파장, 편광, 입사각, 방위각, 등등), 상이한 측정 기술, 또는 이들의 조합 중 어느 하나에서 동일한 측정 시스템에 의해 측정된다. 이 방식에서, 각각의 측정 사이트의 각각의 픽셀에 대해 측정 데이터의 다양한 세트가 모일 수도 있다. 일반적으로, 이미지 데이터는, 광학 이미징 시스템, 현미경, 주사 전자 현미경, 터널링 전자 현미경(tunneling electron microscope), 또는 다른 이미지 형성 시스템과 같은 임의의 이미징 기반의 시스템으로부터 수집될 수도 있다.
모델 트레이닝의 목적을 위해, 주목하는 파라미터(들)의 변동은 반도체 웨이퍼(예를 들면, DOE 웨이퍼)의 표면 상에서 실험 계획법(DOE) 패턴으로 편제된다. 이 방식에서, 측정 사이트는, 주목하는 파라미터(들)의 상이한 값과 대응하는 웨이퍼 표면 상의 상이한 위치를 조사한다. 하나의 예에서, DOE 패턴은 초점 노출 매트릭스(focus exposure matrix; FEM) 패턴이다. 통상적으로, FEM 패턴을 나타내는 DOE 웨이퍼는 측정 사이트의 그리드 패턴을 포함한다. 하나의 그리드 방향(예를 들면, x 방향)으로, 노출이 일정하게 유지되는 동안 초점이 변한다. 직교 그리드 방향(예를 들면, y 방향)으로, 초점이 일정하게 유지되는 동안 노출이 변한다. 이 방식에서, DOE 웨이퍼로부터 수집되는 이미지 데이터는 초점 및 노출에서의 알려진 변동과 관련되는 데이터를 포함한다. 도 17은, 주목하는 파라미터(들)(예를 들면, 초점 및 노출)에서 알려진 변동을 나타내는 구조체를 포함하는 측정 사이트(예를 들면, 측정 사이트(421))의 그리드를 갖는 DOE 웨이퍼(420)를 묘사한다. 초점은 x 방향으로 DOE 웨이퍼(420) 상의 위치의 함수로서 변한다. 노출은 y 방향으로 DOE 웨이퍼(420) 상의 위치의 함수로서 변한다.
몇몇 실시형태에서, 이미지는 디바이스 영역을 포함한다. 측정 사이트의 특정한 이미지의 각각의 픽셀은, 특정 조명 및 수집 조건, 파장, 편광, 등등 하에서 수집된 광의 강도를 나타낸다. 도 18은 웨이퍼(420)의 상이한 측정 사이트의 이미지(422-424)를 묘사한다. 각각의 이미지는 측정 사이트 내에서의 디바이스 구조체의 조감도(aerial view)를 나타낸다. 측정 사이트는 자신의 X 및 Y 좌표에 의해 식별된다.
몇몇 다른 실시형태에서, 이미지는 주목하는 파라미터(들)의 이미지 기반의 측정을 가능하게 하도록 설계되는 특정 타겟을 포함한다. 디바이스 표현을 향상시키기 위해, 주목하는 파라미터(들)(초점, 선량(dose), CD)에 대한 감도를 최대화하기 위해, 그리고 프로세스 변동에 대한 상관을 감소시키기 위해, 특별히 설계된 타겟이 활용될 수도 있다.
도 25a는 아홉 개의 셀 타겟(443)의 상면도를 묘사한다. 아홉 개의 셀의 각각의 프로파일 뷰(444)가 도 25b에서 묘사된다. 번호 1 내기 9가 매겨진 위치의 각각에서의 구조체는 상이한 피치/폭 조합을 갖는다. 하나의 예에서, 측정된 이미지는 세 개의 특정 파장(637nm, 523nm 및 467nm)을 사용하여 측정된 강도(예를 들면, 반사율) 값을 포함한다.
상기 언급된 예에서, 이미지 데이터는, 초점 및 노출(즉, 선량)에서 알려진 변동을 가지고 프로세싱된 DOE 웨이퍼와 관련된다. 그러나, 일반적으로, 프로세스 파라미터, 구조적 파라미터, 분산, 등등의 임의의 알려진 변동과 관련되는 이미지 데이터가 고려될 수도 있다. DOE 웨이퍼의 이미지는 주목하는 파라미터(들)의 범위를 나타내어야 하고 또한 광학 시스템 에러(예를 들면, 카메라 오프셋)와 같은 다른 노이즈 소스의 범위를 나타내어야 한다.
동작 블록 402에서, 제1 복수의 이미지의 각각은 각각의 측정 사이트의 공통 기준 위치와 정렬된다. 이 방식에서, 각각의 이미지로부터의 임의의 특정한 픽셀은 각각의 이미지화된 측정 사이트 상의 동일 위치에 대응한다. 하나의 예에서, 수집된 이미지는, 이들이 세트의 제1 이미지와 매치하도록 정렬된다. 도 19는 이미지(422)와 관련되는 픽셀(425)의 그리드를 예시한다. 몇몇 예에서, 측정 시스템은 높은 정밀도에서 동작하고 추가적인 이미지 정렬은 불필요하다. 이 관점에서, 블록 402는 옵션적이다.
동작 블록 403에서, 블록 101에서 수신되는 이미지의 각각은 하나 이상의 이미지 필터에 의해 필터링된다. 이미지 필터는 노이즈 감소, 콘트라스트 향상, 등등을 위해 활용될 수도 있다. 하나의 예에서, 이미지 필터는, 에지를 검출하고 에지 및 근접 영역을 제거하거나 또는 마스킹하는 것에 의해 에지 효과를 감소시키도록 활용될 수도 있다. 이 방식에서, 후속하는 이미지 샘플은 상대적으로 동질의 디바이스 영역으로부터 취해진다. 활용되는 이미지 필터는 유저에 의해 또는 자동 프로시져에 의해 선택될 수도 있다. 상이한 이미지 필터 및 각각의 선택된 필터와 관련되는 파라미터의 수는 과도한 계산적 부담 없이 최종 측정 결과를 향상시키도록 선택된다. 비록 이미지 기반의 필터의 사용이 유익할 수도 있을지라도, 일반적으로, 그것은 불필요하다. 이 관점에서, 블록 403은 옵션적이다.
동작 블록 404에서, 제1 복수의 이미지의 각각과 관련되는 픽셀의 서브셋이 모델 트레이닝 및 측정을 위해 선택된다. 제1 복수의 이미지의 각각의 동일하게 선택된 픽셀과 관련되는 측정 신호 값은 모델 트레이닝 및 측정을 위해 사용된다.
도 20은 모델 트레이닝 및 측정을 위해 선택되는 두 개의 상이한 픽셀 위치를 묘사한다. 묘사된 예에서, 픽셀(430, 432, 및 434)은, 각각, 이미지(422, 423, 및 424) 상의 동일한 위치에 대응한다. 마찬가지로, 픽셀(431, 433, 및 435)은, 각각, 이미지(422, 423, 및 424) 상의 동일한 위치에 대응한다. 이들 픽셀의 각각과 관련되는 측정 신호는 모델 트레이닝 및 측정을 위해 사용된다. 도 21은 도 20에서 예시되는 픽셀 위치에서 샘플링되는 측정된 강도(예를 들면, 반사율) 값의 벡터(436)를 묘사한다. 이 샘플링된 이미지 데이터는 모델 트레이닝 및 측정을 위해 사용된다. 도 21에서 묘사되는 예에서, 1I(I1,J1)는 이미지(422)의 픽셀(430)과 관련되는 강도 값이고, 2I(I1,J1)는 이미지(423)의 픽셀(432)과 관련되는 강도 값이고, NI(I1,J1)는 이미지(424)의 픽셀(434)과 관련되는 강도 값이다. 마찬가지로, 1I(I2,J2)는 이미지(422)의 픽셀(431)과 관련되는 강도 값이고, 2I(I2,J2)는 이미지(423)의 픽셀(433)과 관련되는 강도 값이고, NI(I2,J2)는 이미지(424)의 픽셀(435)과 관련되는 강도 값이다. 이 방식에서, 벡터(436)는 각각의 이미지화된 측정 사이트의 동일한 위치에서의 픽셀로부터의 강도 측정 신호를 포함한다.
몇몇 예에서, 픽셀 위치는 랜덤하게 선택된다. 몇몇 다른 예에서, 픽셀 위치는 자신의 측정 감도에 기초하여 선택된다. 하나의 예에서, 각각의 픽셀과 관련되는 측정 신호 값의 분산(variance)은 이미지의 앙상블(ensemble)로부터 계산된다. 각각의 픽셀 위치와 관련되는 분산은, 각각의 대응하는 픽셀 위치에서의 측정 감도의 특성을 묘사하는 메트릭이다. 상대적으로 높은 분산을 갖는 픽셀 위치는 더 높은 측정 감도를 제공하며 추가적인 분석을 위해 선택된다. 상대적으로 낮은 분산을 갖는 픽셀 위치는 더 낮은 측정 감도를 제공하며 무시된다. 몇몇 예에서, 분산에 대한 미리 결정된 임계 값이 선택되고 미리 결정된 임계 값을 초과하는 분산을 갖는 픽셀 위치가 모델 트레이닝 및 측정을 위해 선택된다. 이 방식에서, 가장 민감한 위치만이 샘플링된다. 몇몇 예에서, 제1 복수의 이미지의 각각과 관련되는 픽셀의 전체가 모델 트레이닝 및 측정을 위해 선택된다. 이 관점에서, 블록 404는 옵션적이다.
블록 405에서, 선택된 이미지 데이터에 기초하여 피쳐 추출 모델이 결정된다. 피쳐 추출 모델은 이미지 데이터의 차원을 감소시킨다. 피쳐 추출 모델은 원래의 신호를, 신호의 신규의 감소된 세트로 매핑한다. 변환은, 선택된 이미지에서의 주목하는 파라미터(들)의 변동에 기초하여 결정된다. 각각의 이미지의 각각의 픽셀은, 상이한 이미지에 대해 프로세스 범위 내에서 변하는 원래의 신호로서 취급된다. 피쳐 추출 모델은 모든 이미지 픽셀, 또는 이미지 픽셀의 서브셋에 적용될 수도 있다. 몇몇 예에서, 피쳐 추출 모델에 의한 분석의 대상이 되는 픽셀은 랜덤하게 선택된다. 몇몇 다른 예에서, 피쳐 추출 모델에 의한 분석의 대상이 되는 픽셀은, 주목하는 파라미터(들)에서의 변화에 대한 자신의 상대적으로 높은 감도로 인해 선택된다. 예를 들면, 주목하는 파라미터(들)에서의 변화에 민감하지 않은 픽셀은 무시될 수도 있다.
비제한적인 예로서, 피쳐 추출 모델은, 주성분 분석(PCA) 모델, 커널 PCA 모델, 비선형 PCA 모델, 독립 성분 분석(ICA) 모델 또는 딕셔너리를 사용하는 사용하는 다른 차원 감소 방법, 이산 코사인 변환(DCT) 모델, 고속 푸리에 변환(FFT) 모델, 웨이브릿 모델, 등등 중 임의의 것을 사용하여 달성될 수도 있다.
도 22는, 웨이퍼(420)의 이미지의 단일의 주 성분의 값의 윤곽 플롯(440)을 예시한다. 예시되는 바와 같이, 이 주 성분은, 이미지 데이터 세트에 걸친 시스템적 거동의 존재(즉, 초점의 변화)를 나타낸다. 이 예에서, 초점에 민감한 이미지 기반의 측정 모델을 트레이닝하기 위해 이 주 성분을 활용하는 것이 바람직하다. 일반적으로, 노이즈를 주로 반영하는 주 성분은, 모델 구축, 및 후속하는 이미지 기반의 측정 분석의 목적을 위해 잘려진다(truncated).
통상적인 실험 계획법에서, 웨이퍼 상의 위치는, 특정 기하학적 및 프로세스 파라미터 값(예를 들면, 초점, 선량, 오버레이, CD, SWA, Ht 등등)을 갖도록 프로그래밍된다. 그러므로, 주 성분 표현은, 전체 웨이퍼에 걸친 프로세스 파라미터의 함수로서의 하나 이상의 신호 표현을 허용한다. 패턴의 성질은, 패턴이 고립된 피쳐를 포함하든 또는 조밀한 피쳐를 포함하든 간에, 디바이스이 본질적인 특성을 캡쳐한다.
블록 406에서, 복수의 이미지로부터 추출되는 피쳐 및 적어도 하나의 주목하는 파라미터의 알려진 값에 기초하여, 이미지 기반의 측정 모델이 트레이닝된다. 이미지 기반의 측정 모델은, 하나 이상의 측정 사이트에서 계측 시스템에 의해 생성되는 이미지 데이터를 수신하도록, 그리고 각각의 측정 타겟과 관련되는 주목하는 파라미터(들)를 직접적으로 결정하도록 구조화된다. 몇몇 실시형태에서, 이미지 기반의 측정 모델은 신경망 모델로서 구현된다. 하나의 예에서, 신경망의 노드의 수는 이미지 데이터로부터 추출되는 피쳐에 기초하여 선택된다. 다른 예에서, 이미지 기반의 측정 모델은 선형 모델, 다항식 모델, 응답 표면 모델(response surface model), 지원 벡터 머신 모델, 또는 다른 타입의 모델로서 구현될 수도 있다. 몇몇 예에서, 이미지 기반의 측정 모델은 모델의 조합으로서 구현될 수도 있다. 선택된 모델은, 주목하는 파라미터(들)에서의 알려진 변동 및 피쳐 추출 모델로부터 결정되는 신호의 감소된 세트에 기초하여 트레이닝된다. 모델은, 모델의 출력이, DOE 이미지에 의해 정의되는 파라미터 변동 공간 내의 모든 이미지에 대한 주목하는 파라미터(들)에서의 정의된 변동에 피팅하도록 트레이닝된다.
다른 양태에서, 트레이닝된 모델은 다른 웨이퍼의 측정을 위한 측정 모델로서 활용된다. 도 16은 본 발명의 도 31에서 예시되는 계측 시스템(500)과 같은 계측 시스템에 의한 구현에 적합한 방법(410)을 예시한다. 하나의 양태에서, 방법(410)의 데이터 프로세싱 블록은, 컴퓨팅 시스템(530), 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 실행될 수도 있다는 것이 인식된다. 본원에서는, 계측 시스템(500)의 특정한 구조적 양태가 제한을 나타내지 않으며 예시적인 것으로서만 해석되어야 한다는 것이 인식된다.
블록 411에서, 반도체 웨이퍼의 표면 상의 복수의 측정 사이트의 이미지와 관련되는 어떤 양의(an amount of) 측정 데이터가 컴퓨팅 시스템(예를 들면, 컴퓨팅 시스템(530))에 의해 수신된다. 이미지 데이터는, 방법(400)을 참조로 설명되는 바와 같은 계측 기술의 조합, 또는 동일한 계측 기술에 의해 수행되는 측정으로부터 도출된다. 측정 신호 값은 수신된 이미지의 각각의 각 픽셀과 관련된다. 이미지 데이터는, 방법(400)을 참조로 설명되는 것과 동일한 타입의 구조체의, 그러나 주목하는 하나 이상의 파라미터의 미지의 값과 관련되는 이미지를 포함한다.
이미지 데이터는, 방법(400)의 블록(402, 403, 및 404)을 참조로 설명된 것과 동일한 정렬, 필터링, 및 샘플링 단계를 겪게 된다. 비록 이들 단계 중 임의의 것, 또는 전체의 사용이 유익할 수도 있을지라도, 일반적으로는, 그것은 불필요하다. 이 관점에서, 이들 단계는 옵션적이다.
블록 412에서, 그 양의 이미지 데이터의 적어도 일부로부터 이미지 피쳐가 추출된다. 모델 트레이닝을 위해 사용되는 동일한 피쳐 추출 모델(예를 들면, 방법(400)의 단계 405를 참조로 설명되는 피쳐 추출 모델)을 적용하는 것에 의해 이미지 데이터로부터 피쳐를 추출하는 것이 바람직하다. 이 방식에서, 획득된 이미지 데이터의 차원 감소는, 트레이닝 데이터의 차원을 감소시키기 위해 사용되는 동일한 피쳐 추출 모델에 의해 수행된다.
블록 413에서, 복수의 측정 사이트의 각각과 관련되는 적어도 하나의 주목하는 파라미터의 값은, 트레이닝된 이미지 기반의 측정 모델(예를 들면, 방법(400)을 참조로 설명되는 트레이닝된 이미지 기반의 측정 모델)에 대한 추출된 이미지 피쳐의 피팅(fitting)에 기초하여 결정된다. 이 방식에서, 주목하는 파라미터(들)는 트레이닝된 이미지 기반의 측정 모델 및 이미지 신호의 감소된 세트에 기초하여 결정된다.
블록 414에서, 주목하는 파라미터(들)의 결정된 값(들)은 메모리에 저장된다. 예를 들면, 파라미터 값은 측정 시스템(500) 온보드의, 예를 들면 메모리(532)에 저장될 수도 있거나, 또는 외부 메모리 디바이스로 (예를 들면, 출력 신호(540)를 통해) 전달될 수도 있다.
몇몇 예에서, 트레이닝된 이미지 기반의 측정 모델의 측정 수행은, 트레이닝 데이터 세트의 일부로서 참여하지 않은, 그러나 주목하는 파라미터(들)의 알려진 값을 갖는 이미지의 세트를 측정하도록 모델을 사용하는 것에 의해 결정된다. 예상된 오버레이와 측정된 오버레이 사이의 차이는 모델 성능을 나타낸다.
도 23은, y 축 상에서 실제 초점 에러(즉, 알려진 초점 에러 값)를 그리고 x 축 상에서의 대응하는 예측된 초점 에러(즉, 트레이닝된 이미지 기반의 측정 모델에 의해 측정됨)를 나타내는 시뮬레이션 결과의 플롯(441)을 묘사한다. 플롯(441)에서 묘사되는 결과는, 트레이닝 세트에 참여한 이미지 및 트레이닝 세트에 참여하지 않은 이미지의 측정치를 포함한다. 도 23에서 묘사되는 바와 같이, 시뮬레이팅된 측정 결과는 대응하는 알려진 값으로 엄격하게 그룹화된다.
도 24는 도 23에서 묘사되는 각각의 측정 지점과 관련되는 나머지 초점 에러 값을 나타내는 플롯(442)을 묘사한다. 나머지 초점 에러 값은, 실제 초점 에러 값과 예측된 초점 에러 값 사이의 차이이다.
전술한 바와 같이, 본원에서 설명되는 측정 방법 및 시스템은 특수한 타겟으로 한정되지 않는다. 일반적으로, 이용가능한 이미징 시스템에 의해 이미지화될 때, 주목하는 파라미터에 대해 감도를 나타내는 임의의 타겟이 본원에서 설명되는 방법 및 시스템에 따라 활용될 수도 있다.
그러나, 몇몇 예에서, 이미지 기반의 측정 성능을 향상시키기 위해, 이용가능한 이미징 시스템에 의해 이미지화될 때 주목하는 파라미터에 대해 높은 감도를 나타내는 특수화된 측정 타겟을 활용하는 것이 유리하다. 예를 들면, 신호 응답 계측이 본원에서 설명되는 바와 같은 오버레이 에러의 측정에 적용되는 경우, x 및 y 방향에서의 오버레이 에러의 변화로 인해 변하는 픽셀의 수를 최대로 하는 것이 바람직하다.
도 25a 및 도 25b는, 주목하는 파라미터의 이미지 기반의 측정에 대해 유리할 수도 있는 아홉 개의 셀 계측 타겟을 나타낸다.
도 26은, 도 25a 및 도 25b에서 묘사되는 아홉 개의 셀 계측 타겟의 하나의 인스턴스를 각각 포함하는 다수의 측정 사이트의 시뮬레이팅된 이미지(445)를 묘사한다. 각각의 아홉 개의 셀 타겟은, 이미지(445)에서의 자신의 위치에 따라 상이한 초점 및 선량 조건을 겪게 된다. 따라서, 시뮬레이팅된 이미지(445) 내의 각각의 아홉 개의 셀 이미지는, 초점 및 선량의 특정 조합 하에서의 아홉 개의 셀 타겟의 이미지에 대응한다. 도 26에서 예시되는 바와 같이, 각각의 특정 디바이스 구조체에 대응하는 강도는 초점 및 선량의 함수로서 상이하게 진화한다.
방법(400)의 단계에 후속하여, 이들 이미지는 선형 이미지 기반의 측정 모델을 트레이닝하도록 프로세싱되었다. 시뮬레이팅된 측정 사이트가 작기 때문에, 강도 벡터를 구축하도록 모든 픽셀이 샘플링되었다. 이것은, 13개의 선량 및 11개의 초점 값의 범위에 대해 수집된, 측정 신호의 9개의 엘리먼트(3×3) 벡터로 귀결되었다.
시뮬레이팅된 이미지(445)는 637 나노미터의 파장을 가지고 측정된다. 그러나, 또한, 측정 사이트의 동일한 앙상블은 523 나노미터 및 467 나노미터의 조명 광으로 측정되었다.
도 27a는, y 축 상에서 실제 초점 에러(즉, 알려진 초점 에러 값)를 그리고 x 축 상에서 대응하는 예측된 초점 에러(즉, 트레이닝된 이미지 기반의 측정 모델에 의해 측정됨)를 나타내는 시뮬레이션 결과의 플롯(446)을 묘사한다. 플롯(446)에서 묘사되는 결과는, 트레이닝 세트에 참여한 이미지 및 트레이닝 세트에 참여하지 않은 이미지의 측정치를 포함한다. 이 예에서는, 637 나노미터에서의 측정과 관련되는 측정 데이터가 모델 트레이닝 및 측정을 위해 사용되었다.
도 27b는, y 축 상에서 실제 초점 에러(즉, 알려진 초점 에러 값)를 그리고 x 축 상에서 대응하는 예측된 초점 에러(즉, 트레이닝된 이미지 기반의 측정 모델에 의해 측정됨)를 나타내는 시뮬레이션 결과의 플롯(447)을 묘사한다. 플롯(447)에서 묘사되는 결과는, 트레이닝 세트에 참여한 이미지 및 트레이닝 세트에 참여하지 않은 이미지의 측정치를 포함한다. 이 예에서는, 523 나노미터에서의 측정과 관련되는 측정 데이터가 모델 트레이닝 및 측정을 위해 사용되었다.
도 27c는, y 축 상에서 실제 초점 에러(즉, 알려진 초점 에러 값)를 그리고 x 축 상에서 대응하는 예측된 초점 에러(즉, 트레이닝된 이미지 기반의 측정 모델에 의해 측정됨)를 나타내는 시뮬레이션 결과의 플롯(448)을 묘사한다. 플롯(448)에서 묘사되는 결과는, 트레이닝 세트에 참여한 이미지 및 트레이닝 세트에 참여하지 않은 이미지의 측정치를 포함한다. 이 예에서는, 467 나노미터에서의 측정과 관련되는 측정 데이터가 모델 트레이닝 및 측정을 위해 사용되었다.
도 28a는, y 축 상에서 실제 노출량 에러(즉, 알려진 노출량 에러 값)를 그리고 x 축 상에서 대응하는 예측된 노출량 에러(즉, 트레이닝된 이미지 기반의 측정 모델에 의해 측정됨)를 나타내는 시뮬레이션 결과의 플롯(449)을 묘사한다. 플롯(449)에서 묘사되는 결과는, 트레이닝 세트에 참여한 이미지 및 트레이닝 세트에 참여하지 않은 이미지의 측정치를 포함한다. 이 예에서는, 637 나노미터에서의 측정과 관련되는 측정 데이터가 모델 트레이닝 및 측정을 위해 사용되었다.
도 28b는, y 축 상에서 실제 노출량 에러(즉, 알려진 노출량 에러 값)를 그리고 x 축 상에서 대응하는 예측된 노출량 에러(즉, 트레이닝된 이미지 기반의 측정 모델에 의해 측정됨)를 나타내는 시뮬레이션 결과의 플롯(450)을 묘사한다. 플롯(450)에서 묘사되는 결과는, 트레이닝 세트에 참여한 이미지 및 트레이닝 세트에 참여하지 않은 이미지의 측정치를 포함한다. 이 예에서는, 523 나노미터에서의 측정과 관련되는 측정 데이터가 모델 트레이닝 및 측정을 위해 사용되었다.
도 28c는, y 축 상에서 실제 노출량 에러(즉, 알려진 노출량 에러 값)를 그리고 x 축 상에서 대응하는 예측된 노출량 에러(즉, 트레이닝된 이미지 기반의 측정 모델에 의해 측정됨)를 나타내는 시뮬레이션 결과의 플롯(451)을 묘사한다. 플롯(451)에서 묘사되는 결과는, 트레이닝 세트에 참여한 이미지 및 트레이닝 세트에 참여하지 않은 이미지의 측정치를 포함한다. 이 예에서는, 467 나노미터에서의 측정과 관련되는 측정 데이터가 모델 트레이닝 및 측정을 위해 사용되었다.
분석을 위해 선택되는 각각의 파장에 대해, 실제 값과 예측된 값 사이의 협의의 결정 계수 값(R-squared value)은 0.75의 통계적으로 수용가능한 한계치 위에 있다. 각각의 이미지는 이들 실험에 대해 단지 아홉 개의 측정 값만을 포함했고, 그러므로 이들 결과는, 이상적인 경우에 일반적으로 달성되는 것보다 더 낮은 통계적 검증력(statistical power)에서 생성되었다는 것이 인식되어야 한다.
다른 예에서, 모델 트레이닝 및 측정을 위해 다수의 파장에서의 측정 결과가 결합된다. 도 29는, y 축 상에서 실제 초점 에러(즉, 알려진 초점 에러 값)를 그리고 x 축 상에서 대응하는 예측된 초점 에러(즉, 트레이닝된 이미지 기반의 측정 모델에 의해 측정됨)를 나타내는 시뮬레이션 결과의 플롯(452)을 묘사한다. 플롯(452)에서 묘사되는 결과는, 트레이닝 세트에 참여한 이미지 및 트레이닝 세트에 참여하지 않은 이미지의 측정치를 포함한다. 이 예에서는, 467, 523, 및 467 나노미터에서의 측정과 관련되는 측정 데이터가 모델 트레이닝 및 측정을 위해 사용되었다. 도 30은, y 축 상에서 실제 노출량 에러(즉, 알려진 노출량 에러 값)를 그리고 x 축 상에서 대응하는 예측된 노출량 에러(즉, 트레이닝된 이미지 기반의 측정 모델에 의해 측정됨)를 나타내는 시뮬레이션 결과의 플롯(453)을 묘사한다. 플롯(453)에서 묘사되는 결과는, 트레이닝 세트에 참여한 이미지 및 트레이닝 세트에 참여하지 않은 이미지의 측정치를 포함한다. 이 예에서는, 467, 523, 및 467 나노미터에서의 측정과 관련되는 측정 데이터가 모델 트레이닝 및 측정을 위해 사용되었다.
도 27a 내지 도 27c 및 도 28a 내지 도 28c에서 묘사되는 결과를 도 29 및 도 30의 결과와 비교하면, 다수의 파장을 결합하는 것은, 주목하는 파라미터의 실제 값에 더 가까운 예측된 값으로 나타난다는 것이 명백하다.
다른 추가 양태에서, 이미지 기반의 측정 모델을 트레이닝시키기 위한 방법 및 시스템은, 트레이닝된 이미지 기반의 측정 모델에 도달하는데 필요한 엘리먼트 중 임의의 것 또는 전체를 자동화하는 최적화 알고리즘을 포함한다.
몇몇 예에서, 최적화 알고리즘은, 다음의 파라미터, 즉 이미지 필터의 리스트, 필터의 파라미터, 픽셀 샘플링, 피쳐 추출 모델의 타입, 선택된 피쳐 추출 모델의 파라미터, 측정 모델의 타입, 선택된 측정 모델의 파라미터 중 임의의 것 또는 전체를 최적화하는 것에 의해 (비용 함수에 의해 정의되는) 측정의 성능을 극대화하도록 구성된다. 최적화 알고리즘은 유저 정의 휴리스틱(user defined heuristics)을 포함할 수 있고 네스트화된(nested) 최적화의 조합(예를 들면, 조합적인 그리고 연속적인 최적화)일 수 있다
추가 양태에서, 상이한 타겟으로부터의 이미지 데이터는 모델 구축, 트레이닝, 및 측정을 위해 수집된다. 동일한 프로세스 조건에 의해 형성되지만, 상이한 구조체를 구비하는 다수의 타겟과 관련되는 이미지 데이터의 사용은, 모델에 임베딩되는 정보를 증가시키고 프로세스 또는 다른 파라미터 변동에 대한 상관을 감소시킨다. 특히, 하나 이상의 측정 사이트에서 다수의 상이한 타겟의 이미지를 포함하는 트레이닝 데이터의 사용은, 주목하는 파라미터의 값의 보다 정확한 추정을 가능하게 한다.
다른 추가 양태에서, 다수의 타겟으로부터의 신호는 프로세스 변동에 대한 감도를 감소시키도록 그리고 주목하는 파라미터에 대한 감도를 증가시키도록 프로세싱될 수 있다. 몇몇 예에서, 상이한 타겟의 이미지, 또는 이미지의 일부로부터의 신호는 서로 감산된다. 몇몇 다른 예에서, 상이한 타겟의 이미지, 또는 이미지의 일부로부터의 신호는 모델에 피팅되고, 잔차는 본원에서 설명되는 바와 같이 이미지 기반의 측정 모델을 구축, 트레이닝, 및 사용하는데 이용된다. 하나의 예에서, 두 개의 상이한 타겟으로부터의 이미지 신호는, 각각의 측정 결과에서 프로세스 노이즈의 영향을 제거하도록, 또는 상당히 감소시키도록 감산된다. 일반적으로, 프로세스 변동에 대해 감소된 감도를 그리고 주목하는 파라미터에 대해 증가된 감도를 갖는 이미지 신호를 결정하기 위해, 상이한 타겟 이미지, 또는 타겟 이미지의 일부로부터의 신호 사이에 다양한 수학적 연산이 적용될 수 있다.
다른 추가 양태에서, 모델 구축, 트레이닝, 및 측정을 위해, 다수의 상이한 측정 기술의 조합에 의해 수행되는 측정으로부터 도출되는 측정 데이터가 수집된다. 다수의 상이한 측정 기술과 관련되는 측정 데이터의 사용은, 신호의 결합된 세트에서 정보 컨텐츠를 증가시키고 프로세스 또는 다른 파라미터 변동에 대한 오버레이 상관을 감소시킨다. 주목하는 파라미터의 추정에 이용가능한 측정 정보를 향상시키기 위해, 상이한 측정 사이트가 다수의 상이한 측정 기술(예를 들면, CD-SEM, 2-D BPR과 같은 이미징 기술, 산란측정법, 등등)에 의해 측정될 수도 있다.
일반적으로, 트레이닝 및 측정을 위해 이미지 기반의 측정 모델 및 피쳐 추출 모델에 의해 프로세싱되는 데이터가 벡터 형태이기 때문에, 본 특허 문헌의 범위 내에서 임의의 이미지 기반의 측정 기술, 또는 두 개 이상의 측정 기술의 조합이 고려될 수도 있다. 본원에서 설명되는 바와 같은 신호 응답 계측 기술이 데이터의 벡터에 대해 동작하기 때문에, 이미지 데이터의 각각의 픽셀은 독립적으로 취급된다. 또한, 데이터가 이차원 이미지 데이터이든지, 일차원 이미지 데이터이든지, 또는 심지어 단일 지점 데이터이든지에 무관하게, 다수의 상이한 계측으로부터의 데이터를 사슬연결하는 것이 가능하다.
본원에서 설명되는 신호 응답 계측 기술에 따른 분석을 위한 데이터를 제공할 수도 있는 예시적인 측정 기술은, 뮬러 매트릭스 타원편광법을 비롯한 분광 타원편광법, 분광 반사측정법, 분광 산란측정법, 산란측정법 오버레이, 빔 프로파일 반사측정법, 각도 분해 및 편광 분해 둘 모두의 빔 프로파일 타원편광법, 단일의 또는 다수의 이산 파장 타원편광법, TSAXS(송신 소각 x 레이 산란계), SAXS(소각 x레이 산란), GISAXS(스침각 입사 소각 산란), WAXS(광각 x 레이 산란), XRR(x 레이 반사율), XRD(x 레이 회절), GIXRD(스침각 입사 x 레이 회절), HRXRD(고분해능 x 레이 회절), XPS(x 레이 광전자 분광법), XRF(x 레이 형광), GIXRF(스침각 입사 x 레이 형광), x 레이 단층촬영법, 및 x 레이 타원편광법을 포함하지만, 이들로 한정되는 것은 아니다. 일반적으로, 반도체 구조체의 특성 묘사에 적용가능한 임의의 이미지 기반의 계측 기술이, 독립적으로, 또는 임의의 조합으로 고려될 수도 있다.
다른 추가 양태에서, 다수의 계측에 의해 측정되는 신호는 프로세스 변동에 대한 감도를 감소시키도록 그리고 주목하는 파라미터에 대한 감도를 증가시키도록 프로세싱될 수 있다. 몇몇 예에서, 상이한 계측에 의해 측정되는 타겟의 이미지, 또는 이미지의 일부로부터의 신호는 서로 감산된다. 몇몇 다른 예에서, 상이한 계측에 의해 측정되는 타겟의 이미지, 또는 이미지의 일부로부터의 신호는 모델에 피팅되고, 잔차는 본원에서 설명되는 바와 같이 이미지 기반의 측정 모델을 구축, 트레이닝, 및 사용하는데 이용된다. 하나의 예에서, 두 개의 상이한 계측에 의해 측정되는 타겟으로부터의 이미지 신호는, 각각의 측정 결과에서 프로세스 노이즈의 영향을 제거하도록, 또는 상당히 감소시키도록 감산된다. 일반적으로, 프로세스 변동에 대해 감소된 감도를 그리고 주목하는 파라미터에 대해 증가된 감도를 갖는 이미지 신호를 결정하기 위해, 상이한 계측에 의해 측정되는 타겟 이미지, 또는 타겟 이미지의 일부의 신호 사이에 다양한 수학적 연산이 적용될 수 있다.
일반적으로, 다수의 계측 기술에 의해 각각 측정되는 다수의 타겟으로부터의 이미지 신호는, 신호의 결합된 세트에서 정보 컨텐츠를 증가시키고 프로세스 또는 다른 파라미터 변동에 대한 오버레이 상관을 감소시킨다.
도 31은 본원에서 제시되는 방법(400 및 410)에 따라 시료의 특성을 측정하기 위한 시스템(500)을 예시한다. 도 31에서 도시되는 바와 같이, 시스템(500)은 시료(501)의 하나 이상의 구조체의 분광 타원편광 측정을 수행하기 위해 사용될 수도 있다. 이 양태에서, 시스템(500)은 조명기(502) 및 분광기(504)를 구비하는 분광 타원편광기를 포함할 수도 있다. 시스템(500)의 조명기(502)는 선택된 파장 범위(예를 들면, 150-850nm)의 조명을 생성하여, 시료(501)의 표면 상에 배치되는 구조체로 지향시키도록 구성된다. 그 다음, 분광기(504)는 시료(501)의 표면으로부터 반사되는 조명을 수신하도록 구성된다. 조명기(502)로부터 방출되는 광은 편광 상태 생성기(507)를 사용하여 편광되어 편광된 조명 빔(506)을 생성한다는 것을 더 유의해야 한다. 시료(501) 상에 배치된 구조체에 의해 반사되는 방사선은 편광 상태 분석기(509)를 통과하여 분광기(504)로 전달된다. 분광기(504)에 의해 집광 빔(508)으로 수신되는 방사선은 편광 상태와 관련하여 분석되어, 분석기를 통과한 방사선의 분광기에 의한 스펙트럼 분석을 허용한다. 이들 스펙트럼(511)은 구조체의 분석을 위해 컴퓨팅 시스템(530)으로 전달된다.
도 31에서 묘사되는 바와 같이, 시스템(500)은 단일의 측정 기술(즉, SE)을 포함한다. 그러나, 일반적으로, 시스템(500)은 임의의 수의 상이한 측정 기술을 포함할 수도 있다. 비제한적인 예로서, 시스템(500)은 분광 타원편광기(뮬러 매트릭스 타원편광법을 포함함), 분광 반사계, 분광 산란계, 오버레이 산란계, 각도 분해 빔 프로파일 반사계, 편광 분해 빔 프로파일 반사계, 빔 프로파일 반사계, 빔 프로파일 타원편광기, 임의의 단일의 또는 다수의 파장 타원편광기, 또는 이들의 임의의 조합으로서 구성될 수도 있다. 또한, 일반적으로, 상이한 측정 기술에 의해 수집되고 본원에서 설명되는 방법에 따라 분석되는 측정 데이터는, 다수의 기술을 통합하고 있는 하나의 툴보다는, 다수의 툴로부터 수집될 수도 있다.
추가 실시형태에서, 시스템(500)은 본원에서 설명되는 방법에 따라 전개되는 이미지 기반의 측정 모델에 기초하여 측정을 수행하기 위해 활용되는 하나 이상의 컴퓨팅 시스템(530)을 포함할 수도 있다. 하나 이상의 컴퓨팅 시스템(530)은 분광기(504)에 통신 가능하게 커플링될 수도 있다. 하나의 양태에서, 하나 이상의 컴퓨팅 시스템(530)은 시료(501)의 구조체의 측정과 관련되는 측정 데이터(511)를 수신하도록 구성된다.
본 개시의 전체에 걸쳐 설명되는 다양한 단계는 단일의 컴퓨터 시스템(530), 또는, 대안적으로, 다수의 컴퓨터 시스템(530)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 분광 타원평광기(504)와 같은 시스템(500)의 상이한 서브시스템은 본원에서 설명되는 단계의 적어도 일부를 실행하기에 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 상기 언급된 설명은 본 발명에 대한 제한으로서 해석되어선 안 되며 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(530)은 본원에서 설명되는 방법 실시형태 중 임의의 실시형태의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
또한, 컴퓨터 시스템(530)은 기술 분야에서 공지된 임의의 방식으로 분광기(504)에 통신 가능하게 커플링될 수도 있다. 예를 들면, 하나 이상의 컴퓨팅 시스템(530)은 분광기(504)와 관련되는 컴퓨팅 시스템에 커플링될 수도 있다. 다른 예에서, 분광기(504)는 컴퓨터 시스템(530)에 커플링되는 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.
계측 시스템(500)의 컴퓨터 시스템(530)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 시스템(예를 들면, 분광기(504) 및 등등)의 서브시스템으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 시스템(500)의 다른 서브시스템과 컴퓨터 시스템(530) 사이의 데이터 링크로서 기능할 수도 있다.
계측 시스템(500)의 컴퓨터 시스템(530)은, 유선부 및/또는 무선무를 포함할 수도 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력, 모델링 결과, 등등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 컴퓨터 시스템(530)과 다른 시스템(예를 들면, 메모리 온보드 계측 시스템(500), 외부 메모리, 또는 다른 외부 시스템) 사이의 데이터 링크로서 기능할 수도 있다. 예를 들면, 컴퓨팅 시스템(530)은 데이터 링크를 통해 저장 매체(즉, 메모리(532) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수도 있다. 예를 들면, 분광기(504)를 사용하여 획득되는 스펙트럼 결과는 영구적인 또는 반영구적인 메모리 디바이스(예를 들면, 메모리(532) 또는 외부 메모리)에 저장될 수도 있다. 이와 관련하여, 스펙트럼 결과는 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(가져오기)될 수도 있다. 또한, 컴퓨터 시스템(530)은 송신 매체를 통해 데이터를 다른 시스템으로 전송할 수도 있다. 예를 들면, 컴퓨터 시스템(530)에 의해 결정되는 시료 파라미터(540) 또는 트레이닝된 측정 모델은 외부 메모리에 전달되어 저장될 수도 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 엑스포트(내보내기)될 수도 있다.
컴퓨팅 시스템(530)은, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 기술 분야에서 공지되어 있는 임의의 다른 디바이스를 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 일반적으로, 용어 "컴퓨팅 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수도 있다.
본원에서 설명되는 방법과 같은 방법을 구현하는 프로그램 명령어(534)는, 와이어, 케이블, 또는 무선 전송 링크와 같은 송신 매체를 통해 송신될 수도 있다. 예를 들면, 도 31에서 예시되는 바와 같이, 메모리(532)에 저장되는 프로그램 명령어(534)는 버스(533)를 통해 프로세서(531)로 송신된다. 프로그램 명령어(534)는 컴퓨터 판독가능 매체(예를 들면, 메모리(532))에 저장된다. 예시적인 컴퓨터 판독가능 매체는 리드 온리 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
또 다른 양태에서, 본원에서 설명되는 측정 결과는 프로세스 툴(예를 들면, 리소그래피 툴, 에칭 툴, 퇴적 툴, 등등)로 능동 피드백(active feedback)을 제공하기 위해 사용될 수 있다. 예를 들면, 본원에서 설명되는 방법을 사용하여 결정되는 오버레이 에러의 값은, 원하는 출력을 달성하도록 리소그래피 시스템을 조정하기 위해 리소그래피 툴로 전달될 수 있다. 유사한 방식으로, 에칭 툴 또는 퇴적 툴로 능동 피드백을 제공하기 위해, 에칭 파라미터(예를 들면, 에칭 시간, 확산성 등등) 또는 퇴적 파라미터(예를 들면, 시간, 농도 등등)가 측정 모델에, 각각, 포함될 수도 있다.
일반적으로, 본원에서 설명되는 시스템 및 방법은 오프라인의 또는 툴 상에서의(on-tool) 측정을 위한 측정 모델을 준비하는 프로세스의 일부로서 구현될 수 있다. 또한, 측정 모델은 하나 이상의 타겟 구조체, 디바이스 구조체, 및 측정 사이트를 기술할 수도 있다.
본원에서 설명되는 바와 같이, 용어 "임계 치수"는 구조체의 임의의 임계 치수(예를 들면, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이 등등), 임의의 둘 이상의 구조체 사이의 임계 치수(예를 들면, 두 구조체 사이의 거리), 및 둘 이상의 구조체 사이의 변위(예를 들면, 중첩하는 격자 구조체 사이의 오버레이 변위 등등)를 포함한다. 구조체는 3차원 구조체, 패턴화된 구조체, 오버레이 구조체, 등등을 포함할 수도 있다.
본원에서 설명되는 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본원에서 설명되는 바와 같이, 용어 "계측 시스템"은, 임계 치수 계측, 오버레이 계측, 초점/노출량 계측, 및 조성 계측과 같은 측정 애플리케이션을 비롯한, 임의의 양태에서 시료의 특성을 적어도 부분적으로 묘사하는데 활용되는 임의의 시스템을 포함한다. 그러나, 기술 분야의 이러한 용어는 본원에서 사용되는 바와 같은 용어 "계측 시스템"의 범위를 제한하지 않는다. 또한, 계측 시스템(100)은 패턴화된 웨이퍼 및/또는 패턴화되지 않은 웨이퍼의 측정을 위해 구성될 수도 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 이면 검사 툴, 매크로 검사 툴 또는 멀티 모드 검사 툴(하나 이상의 플랫폼으로부터의 데이터를 동시에 수반함), 및 임계 치수 데이터에 기초한 시스템 파라미터의 캘리브레이션으로부터 이익을 얻는 임의의 다른 계측 또는 검사 툴로서 구성될 수도 있다.
시료를 프로세싱하기 위해 사용될 수도 있는 반도체 프로세싱 시스템(예를 들면, 검사 시스템 또는 리소그래피 시스템)에 대한 다양한 실시형태가 본원에서 설명된다. 용어 "시료"는, 본원에서, 웨이퍼, 레티클, 또는 기술 분야에서 공지된 수단에 의해 프로세싱될 수도 있는 (예를 들면, 결함에 대해 검사되거나 또는 인쇄될 수도 있는) 임의의 다른 샘플을 가리키기 위해 사용된다.
본원에서 사용되는 바와 같이, 용어 "웨이퍼"는 반도체 또는 비반도체 재료로 형성되는 기판을 일반적으로 가리킨다. 예는, 단결정 실리콘, 비화 갈륨, 및 인화 인듐을 포함하지만, 이들로 한정되는 것은 아니다. 이러한 기판은 반도체 제조 설비에서 일반적으로 발견될 수도 있고 및/또는 프로세싱될 수도 있다. 몇몇 경우에서, 웨이퍼는 기판(즉, 베어 웨이퍼(bare wafer))만을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 재료의 하나 이상의 레이어를 포함할 수도 있다. 웨이퍼 상에 형성되는 하나 이상의 레이어는 "패턴화되거나" 또는 "비패턴화될(unpatterned)" 수도 있다. 예를 들면, 웨이퍼는 반복가능한 패턴 피쳐를 갖는 복수의 다이를 포함할 수도 있다.
"레티클"은 레티클 제조 프로세스의 임의의 단계에서의 레티클일 수도 있거나, 또는 반도체 제조 설비에서의 사용을 위해 방출되거나 또는 방출되지 않을 수도 있는 완성된 레티클일 수도 있다. 레티클, 또는 "마스크"는, 실질적으로 불투명한 영역이 상부에 형성되며 어떤 패턴으로 구성되는 실질적으로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예를 들면, 비정질 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은, 레티클 상의 패턴이 레지스트로 전사될 수 있도록, 리소그래피 프로세스의 노출 단계 동안 레지스트로 피복된 웨이퍼 위에 배치될 수도 있다.
웨이퍼 상에 형성되는 하나 이상의 레이어는 패턴화될 수도 있거나 또는 패턴화되지 않을 수도 있다. 예를 들면, 웨이퍼는, 반복 가능한 패턴 피쳐를 각각 구비하는 복수의 다이를 포함할 수도 있다. 이러한 레이어의 재료의 성형 및 프로세싱은 궁극적으로는 완성된 디바이스로 귀결될 수도 있다. 많은 상이한 타입의 디바이스가 웨이퍼 상에 형성될 수도 있고, 본원에서 사용되는 바와 같은 용어 웨이퍼는, 기술 분야에서 공지된 임의의 타입의 디바이스가 상부에서 제조되고 있는 웨이퍼를 포괄하도록 의도된다.
하나 이상의 예시적인 실시형태에서, 설명한 기능은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어로 구현되면, 기능은 하나 이상의 명령어 또는 코드로서 컴퓨터 판독가능 매체 상에 저장되거나 또는 컴퓨터 판독가능 매체를 통해 송신될 수도 있다. 컴퓨터 판독가능 매체는 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 가능하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체 및 통신 매체 둘 다를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적의 컴퓨터에 의해 액세스될 수 있는 임의의 이용가능한 매체일 수도 있다. 비제한적인 예로서, 이러한 컴퓨터 판독가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 디바이스, 또는 명령어 또는 데이터 구조의 형태로 원하는 프로그램 코드 수단을 반송(carry) 또는 저장하기 위해 이용될 수 있으며 범용 컴퓨터나 특수 목적용 컴퓨터, 또는 범용 프로세서나 특수 목적용 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속이 컴퓨터 판독가능 매체로 적절히 칭해진다. 예를 들면, 소프트웨어가 동축 케이블, 광섬유 케이블, 연선(twisted pair), 디지털 가입자 회선(digital subscriber line; DSL), 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 송신된다면, 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 디스크(disk) 및 디스크(disc)는, 본원에서 사용되는 바와 같이, 컴팩트 디스크(compact disc; CD), 레이저 디스크, 광학 디스크, 디지털 다기능 디스크(digital versatile disc; DVD), 플로피 디스크 및 블루레이 디스크를 포함하는데, 여기서 디스크(disk)는 통상 자기적으로 데이터를 재생하고, 한편 디스크(disc)는 레이저를 이용하여 광학적으로 데이터를 재생한다. 상기의 조합도 컴퓨터 판독가능 매체의 범위 내에 또한 포함되어야 한다.
소정의 특정 실시형태가 교수적인 목적을 위해 상기에서 설명되었지만, 본 특허 문헌의 교시는 일반적인 적용가능성을 가지며 상기에서 설명되는 특정 실시형태로 한정되는 것은 아니다. 따라서, 설명된 실시형태의 다양한 피쳐의 다양한 수정예, 적응예, 및 조합예는 청구범위에서 개시되는 바와 같은 본 발명의 범위를 벗어나지 않으면서 실시될 수 있다.

Claims (23)

  1. 방법에 있어서,
    적어도 하나의 주목하는 파라미터의 알려진 값을 갖는 시료의 표면 상의 제1 복수의 측정 사이트(site)의 제1 복수의 이미지를 포함하는 제1 양의 이미지 데이터를 수신하는 단계 - 상기 측정 사이트의 각각은 상기 측정 사이트의 각각 내의 동일한 공칭 위치에서 동일한 공칭 구조체를 포함하고, 측정 신호 값이 상기 제1 복수의 이미지의 각각의 이미지의 각 픽셀과 관련되고, 상기 제1 양의 이미지 데이터는 적어도 하나의 측정 기술에 의해 수행되는 측정으로부터 도출됨 - ;
    상기 제1 양의 이미지 데이터의 일 부분에 기초하여 피쳐 추출 모델 - 상기 피쳐 추출 모델은 상기 제1 양의 이미지 데이터의 상기 부분의 차원을 감소시킴 - 을 결정하는 단계;
    상기 적어도 하나의 주목하는 파라미터의 상기 알려진 값 및 상기 제1 양의 이미지 데이터의 상기 부분으로부터 추출되는 피쳐에 기초하여 이미지 기반의 측정 모델을 트레이닝시키는 단계; 및
    상기 제1 복수의 이미지의 각각의 이미지와 관련되는 픽셀의 서브셋(subset)을 선택하는 단계를 포함하고,
    상기 제1 양의 이미지 데이터의 상기 부분은 상기 제1 복수의 이미지의 각각의 이미지의 상기 선택된 픽셀과 관련되는 측정 신호 값에 기초하고,
    상기 제1 복수의 이미지의 각각의 이미지와 관련되는 픽셀의 서브셋을 선택하는 단계는, 상기 제1 복수의 이미지의 각각의 이미지의 픽셀과 관련되는 측정 신호 값에서의 분산(variance)을 결정하는 단계, 및 상기 분산이 미리 결정된 임계 값을 초과하는 경우 상기 픽셀을 선택하는 단계를 수반하는, 방법.
  2. 제1항에 있어서,
    상기 제1 복수의 이미지의 각각을, 각각의 측정 사이트의 공통 기준 위치에 정렬하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서,
    상기 제1 복수의 이미지의 각각을 필터링하는 단계를 더 포함하는, 방법.
  4. 삭제
  5. 삭제
  6. 제1항에 있어서,
    상기 복수의 이미지의 각각의 이미지는 디바이스 영역에 위치하는 디바이스 구조체를 포함하는, 방법.
  7. 제1항에 있어서,
    상기 복수의 이미지의 각각의 이미지는 동일한 프로세스 조건에 의해 형성되는 복수의 상이한 계측 타겟을 포함하는, 방법.
  8. 제1항에 있어서,
    상기 적어도 하나의 주목하는 파라미터의 상기 알려진 값의 각각은, 프로세스 파라미터 값, 구조적 파라미터 값, 분산 파라미터 값(dispersion parameter value), 및 레이아웃 파라미터 값 중 임의의 것인, 방법.
  9. 제1항에 있어서,
    상기 피쳐 추출 모델은, 주 성분 분석(PCA) 모델, 독립 성분 분석(ICA) 모델, 커널 PCA 모델, 비선형 PCA 모델, 고속 푸리에 변환(FFT) 모델, 이산 코사인 변환(DCT) 모델, 및 웨이브릿 모델 중 임의의 것인, 방법.
  10. 제1항에 있어서,
    상기 이미지 기반의 측정 모델은, 선형 모델, 다항식 모델, 신경망 모델, 지원 벡터 머신 모델, 결정 트리 모델, 및 랜덤 포리스트 모델(random forest model) 중 임의의 것인, 방법.
  11. 제1항에 있어서,
    상기 제1 양의 이미지 데이터는, 복수의 상이한 계측 기술에 의해 획득되는 이미지 또는 이미지의 조합을 포함하는, 방법.
  12. 제1항에 있어서,
    제2 복수의 측정 사이트의 제2 복수의 이미지를 포함하는 제2 양의 이미지 데이터를 수신하는 단계 - 측정 신호 값이 상기 제2 복수의 이미지의 각각의 이미지의 각 픽셀과 관련되고, 상기 제2 양의 이미지 데이터는 동일한 적어도 하나의 측정 기술에 의해 수행되는 측정으로부터 도출됨 - ;
    상기 제2 양의 이미지 데이터로부터 이미지 피쳐를 추출하는 단계;
    상기 트레이닝된 이미지 기반의 측정 모델에 대한 상기 추출된 피쳐의 피팅에 기초하여 상기 제2 복수의 측정 사이트의 각각과 관련되는 적어도 하나의 주목하는 파라미터의 값을 결정하는 단계; 및
    상기 적어도 하나의 주목하는 파라미터의 값을 메모리에 저장하는 단계를 포함하는, 방법.
  13. 측정 시스템에 있어서,
    시료에 일정 양의 조명 광을 제공하도록 구성되는 조명원;
    적어도 하나의 주목하는 파라미터의 알려진 값을 갖는 시료의 표면 상의 제1 복수의 측정 사이트의 제1 복수의 이미지를 캡쳐하도록 구성되는 이미징 검출기 - 상기 측정 사이트의 각각은 상기 측정 사이트의 각각 내의 동일한 공칭 위치에서 동일한 공칭 구조체를 포함하고, 측정 신호 값이 상기 제1 복수의 이미지의 각각의 이미지의 각 픽셀과 관련됨 - ; 및
    컴퓨팅 시스템을 포함하고,
    상기 컴퓨팅 시스템은,
    상기 제1 복수의 이미지를 수신하고;
    상기 제1 복수의 이미지의 일 부분에 기초하여 피쳐 추출 모델 - 상기 피쳐 추출 모델은 상기 제1 복수의 이미지의 상기 부분의 차원을 감소시킴 - 을 결정하며;
    상기 적어도 하나의 주목하는 파라미터의 상기 알려진 값 및 상기 제1 복수의 이미지의 상기 부분으로부터 추출되는 피쳐에 기초하여 이미지 기반의 측정 모델을 트레이닝시키며,
    상기 제1 복수의 이미지의 각각의 이미지와 관련되는 픽셀의 서브셋을 선택하도록 구성되고,
    상기 제1 복수의 이미지의 상기 부분은 상기 제1 복수의 이미지의 각각의 이미지의 상기 선택된 픽셀과 관련되는 측정 신호 값에 기초하고,
    상기 제1 복수의 이미지의 각각의 이미지와 관련되는 픽셀의 서브셋을 선택하는 것은, 상기 제1 복수의 이미지의 각각의 이미지의 픽셀과 관련되는 측정 신호 값에서의 분산(variance)을 결정하는 것, 및 상기 분산이 미리 결정된 임계 값을 초과하는 경우 상기 픽셀을 선택하는 것을 수반하는 것인, 측정 시스템.
  14. 삭제
  15. 제13항에 있어서,
    상기 제1 복수의 이미지의 각각의 이미지는 디바이스 영역에 위치하는 디바이스 구조체를 포함하는, 측정 시스템.
  16. 제13항에 있어서,
    상기 제1 복수의 이미지의 각각의 이미지는 동일한 프로세스 조건에 의해 형성되는 복수의 상이한 계측 타겟을 포함하는, 측정 시스템.
  17. 제13항에 있어서,
    상기 적어도 하나의 주목하는 파라미터의 상기 알려진 값의 각각은, 프로세스 파라미터 값, 구조적 파라미터 값, 분산 파라미터 값, 및 레이아웃 파라미터 값 중 임의의 것인, 측정 시스템.
  18. 제13항에 있어서,
    상기 피쳐 추출 모델은, 주 성분 분석(PCA) 모델, 독립 성분 분석(ICA) 모델, 커널 PCA 모델, 비선형 PCA 모델, 고속 푸리에 변환(FFT) 모델, 이산 코사인 변환(DCT) 모델, 및 웨이브릿 모델 중 임의의 것인, 측정 시스템.
  19. 제13항에 있어서,
    상기 이미지 기반의 측정 모델은, 선형 모델, 다항식 모델, 신경망 모델, 지원 벡터 머신 모델, 결정 트리 모델, 및 랜덤 포리스트 모델 중 임의의 것인, 측정 시스템.
  20. 제13항에 있어서,
    상기 제1 복수의 이미지는, 복수의 상이한 계측 기술에 의해 획득되는 이미지 또는 이미지의 조합을 포함하는, 측정 시스템.
  21. 제13항에 있어서,
    상기 이미징 검출기는 또한 제2 복수의 측정 사이트의 제2 복수의 이미지를 캡쳐하도록 구성되고, 측정 신호 값이 상기 제2 복수의 이미지의 각각의 이미지의 각 픽셀과 관련되고,
    상기 컴퓨팅 시스템은 또한,
    상기 제2 복수의 이미지로부터 이미지 피쳐를 추출하고;
    상기 트레이닝된 이미지 기반의 측정 모델에 대한 상기 추출된 피쳐의 피팅에 기초하여 상기 제2 복수의 측정 사이트의 각각과 관련되는 적어도 하나의 주목하는 파라미터의 값을 결정하며;
    상기 적어도 하나의 주목하는 파라미터의 값을 메모리에 저장하도록
    구성되는, 측정 시스템.
  22. 삭제
  23. 삭제
KR1020227025403A 2014-10-14 2015-10-13 이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측 KR102538261B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201462063932P 2014-10-14 2014-10-14
US62/063,932 2014-10-14
US14/880,077 2015-10-09
US14/880,077 US10210606B2 (en) 2014-10-14 2015-10-09 Signal response metrology for image based and scatterometry overlay measurements
PCT/US2015/055373 WO2016061128A1 (en) 2014-10-14 2015-10-13 Signal response metrology for image based and scatterometry overlay measurements
KR1020177012417A KR102486070B1 (ko) 2014-10-14 2015-10-13 이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177012417A Division KR102486070B1 (ko) 2014-10-14 2015-10-13 이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측

Publications (2)

Publication Number Publication Date
KR20220107326A KR20220107326A (ko) 2022-08-02
KR102538261B1 true KR102538261B1 (ko) 2023-05-30

Family

ID=55747229

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227025403A KR102538261B1 (ko) 2014-10-14 2015-10-13 이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측
KR1020177012417A KR102486070B1 (ko) 2014-10-14 2015-10-13 이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020177012417A KR102486070B1 (ko) 2014-10-14 2015-10-13 이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측

Country Status (7)

Country Link
US (1) US10210606B2 (ko)
JP (2) JP6688294B2 (ko)
KR (2) KR102538261B1 (ko)
CN (2) CN110596146B (ko)
IL (1) IL250087B (ko)
TW (1) TWI703652B (ko)
WO (1) WO2016061128A1 (ko)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
WO2015121867A1 (en) * 2014-02-16 2015-08-20 Nova Measuring Instruments Ltd. Overlay design optimization
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
KR101986258B1 (ko) * 2014-08-29 2019-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 타겟 및 기판
US9696265B2 (en) * 2014-11-04 2017-07-04 Exnodes Inc. Computational wafer inspection filter design
US10201606B2 (en) 2014-11-26 2019-02-12 Miltenyi Biotec Gmbh Combination immunotherapy of antigen-recognizing receptors and hematopoietic cells for the treatment of diseases
CN108431692B (zh) 2015-12-23 2021-06-18 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
CN109478023B (zh) * 2016-07-15 2021-09-10 Asml荷兰有限公司 用于量测目标场的设计的方法和设备
JP6880184B2 (ja) * 2016-11-10 2021-06-02 エーエスエムエル ネザーランズ ビー.ブイ. スタック差を使用した設計及び補正
EP3333631A1 (en) * 2016-12-06 2018-06-13 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, polarizer assembly
EP3336605A1 (en) 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
EP3339959A1 (en) 2016-12-23 2018-06-27 ASML Netherlands B.V. Method of determining a position of a feature
US11112704B2 (en) * 2017-02-10 2021-09-07 Kla-Tencor Corporation Mitigation of inaccuracies related to grating asymmetries in scatterometry measurements
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
WO2018202388A1 (en) 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
CN108962776B (zh) * 2017-05-26 2021-05-18 台湾积体电路制造股份有限公司 半导体装置及其制造方法和覆盖误差的测量方法
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
EP3451060A1 (en) 2017-08-28 2019-03-06 ASML Netherlands B.V. Substrate, metrology apparatus and associated methods for a lithographic process
JP2020535484A (ja) * 2017-09-28 2020-12-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ方法
US10565697B2 (en) 2017-10-22 2020-02-18 Kla-Tencor Corporation Utilizing overlay misregistration error estimations in imaging overlay metrology
US10461037B2 (en) * 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with overlay grating
US11380594B2 (en) * 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
EP3489756A1 (en) * 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
WO2019108676A1 (en) * 2017-11-28 2019-06-06 Yale University Systems and methods of formal verification
CN107908071B (zh) * 2017-11-28 2021-01-29 上海集成电路研发中心有限公司 一种基于神经网络模型的光学邻近校正方法
US10473460B2 (en) * 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US10580673B2 (en) 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
JP7074489B2 (ja) * 2018-02-08 2022-05-24 株式会社Screenホールディングス データ処理方法、データ処理装置、および、データ処理プログラム
US11067389B2 (en) * 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
JP2019184354A (ja) * 2018-04-06 2019-10-24 株式会社日立ハイテクノロジーズ 電子顕微鏡装置、電子顕微鏡装置を用いた検査システム及び電子顕微鏡装置を用いた検査方法
JP2019185972A (ja) 2018-04-06 2019-10-24 株式会社日立ハイテクノロジーズ 走査電子顕微鏡システム及びパターンの深さ計測方法
US10579764B2 (en) * 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks
EP3640735A1 (en) * 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
US11062928B2 (en) * 2019-10-07 2021-07-13 Kla Corporation Process optimization using design of experiments and response surface models
JP7275585B2 (ja) * 2019-01-10 2023-05-18 株式会社ニコン 観察装置、観察装置の作動方法及び内視鏡装置
US11340060B2 (en) * 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
JP7149906B2 (ja) 2019-08-07 2022-10-07 株式会社日立ハイテク 走査電子顕微鏡及びパタン計測方法
CN110633076B (zh) * 2019-09-16 2021-05-04 杭州趣链科技有限公司 一种自动生成Solidity智能合约Java客户端程序的方法
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
GB2598310B (en) * 2020-08-24 2022-10-12 Dualitas Ltd Data processing
CN112419486A (zh) * 2020-12-02 2021-02-26 广州粤芯半导体技术有限公司 一种光刻胶形貌的三维重建方法
KR20230152742A (ko) * 2021-03-10 2023-11-03 에이에스엠엘 네델란즈 비.브이. 정렬 방법 및 연관된 정렬 및 리소그래피 장치
US11556062B2 (en) 2021-03-18 2023-01-17 Kla Corporation Sub-resolution imaging target
US20220404143A1 (en) * 2021-06-18 2022-12-22 Kla Corporation Methods And Systems For Measurement Of Tilt And Overlay Of A Structure
EP4113210A1 (en) * 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
KR102516199B1 (ko) * 2021-12-22 2023-03-30 호서대학교 산학협력단 특징검출을 위한 필터가 포함된 인공신경망을 이용한 비전 검사를 위한 장치 및 이를 위한 방법
US11861824B1 (en) * 2022-02-03 2024-01-02 Kla Corporation Reference image grouping in overlay metrology
WO2024099686A1 (en) * 2022-11-09 2024-05-16 Asml Netherlands B.V. Systems, methods, and software for overlay model building and application

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040233442A1 (en) 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20130278942A1 (en) 2012-04-24 2013-10-24 Nanometrics Incorporated Dark field diffraction based overlay
US20140233031A1 (en) * 2011-03-24 2014-08-21 Asml Netherlands B.V. Substrate and Patterning Device for Use in Metrology, Metrology Method and Device Manufacturing Method
US20140270469A1 (en) * 2013-03-14 2014-09-18 Kla-Tencor Corporation Method and System for Reference-Based Overlay Measurement
US20140297211A1 (en) * 2013-03-27 2014-10-02 Kla-Tencor Corporation Statistical model-based metrology

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6917419B2 (en) 2000-09-20 2005-07-12 Kla-Tencor Technologies Corp. Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
TWI251722B (en) * 2002-09-20 2006-03-21 Asml Netherlands Bv Device inspection
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
TWI269870B (en) * 2004-12-30 2007-01-01 Ind Tech Res Inst Method for deciding structure parameters of a grating
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7277172B2 (en) 2005-06-06 2007-10-02 Kla-Tencor Technologies, Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
TWI347428B (en) * 2007-11-02 2011-08-21 Ind Tech Res Inst Overlay alignment structure and method for overlay metrology using the same
US20090296075A1 (en) 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
TWI364784B (en) * 2008-06-13 2012-05-21 Ind Tech Res Inst Method for designing overlay targets and method and system for measuring overlay error using the same
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
WO2011003734A1 (en) * 2009-07-06 2011-01-13 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus and lithographic processing cell
KR20120039725A (ko) 2009-07-22 2012-04-25 케이엘에이-텐코 코포레이션 각?분해형 반대칭 산란 측정
US8525993B2 (en) 2009-10-07 2013-09-03 Nanometrics Incorporated Scatterometry measurement of asymmetric structures
TWI417942B (zh) 2009-12-17 2013-12-01 Ind Tech Res Inst 二維陣列疊對圖樣組之設計方法、疊對誤差量測方法及其量測系統
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
JP6133980B2 (ja) * 2012-07-05 2017-05-24 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9958790B2 (en) * 2013-12-19 2018-05-01 Asml Netherlands B.V. Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
NL2015269A (en) * 2014-08-29 2016-07-08 Asml Holding Nv Method and apparatus for spectrally broadening radiation.
US9710728B2 (en) * 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040233442A1 (en) 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20140233031A1 (en) * 2011-03-24 2014-08-21 Asml Netherlands B.V. Substrate and Patterning Device for Use in Metrology, Metrology Method and Device Manufacturing Method
US20130278942A1 (en) 2012-04-24 2013-10-24 Nanometrics Incorporated Dark field diffraction based overlay
US20140270469A1 (en) * 2013-03-14 2014-09-18 Kla-Tencor Corporation Method and System for Reference-Based Overlay Measurement
US20140297211A1 (en) * 2013-03-27 2014-10-02 Kla-Tencor Corporation Statistical model-based metrology

Also Published As

Publication number Publication date
IL250087B (en) 2020-05-31
US20160117847A1 (en) 2016-04-28
CN110596146B (zh) 2022-09-27
TW201626476A (zh) 2016-07-16
CN110596146A (zh) 2019-12-20
WO2016061128A1 (en) 2016-04-21
JP6983944B2 (ja) 2021-12-17
JP2020126254A (ja) 2020-08-20
JP2017532602A (ja) 2017-11-02
KR102486070B1 (ko) 2023-01-06
KR20170069250A (ko) 2017-06-20
IL250087A0 (en) 2017-03-30
CN107076681A (zh) 2017-08-18
KR20220107326A (ko) 2022-08-02
TWI703652B (zh) 2020-09-01
JP6688294B2 (ja) 2020-04-28
US10210606B2 (en) 2019-02-19
CN107076681B (zh) 2019-12-31

Similar Documents

Publication Publication Date Title
KR102538261B1 (ko) 이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측
US9710728B2 (en) Image based signal response metrology
US10152654B2 (en) Signal response metrology for image based overlay measurements
JP7012734B2 (ja) 光学散乱計測に基づくプロセスに対してロバストなオーバーレイ計測
US10352876B2 (en) Signal response metrology for scatterometry based overlay measurements
KR102035376B1 (ko) 통계적 모델 기반 계측
TWI713575B (zh) 使用影像之以模型為基礎之度量
KR102382490B1 (ko) 모델 기반의 핫 스팟 모니터링
KR102196370B1 (ko) 프록시 구조의 측정에 기초한 신호 응답 계측
US10769320B2 (en) Integrated use of model-based metrology and a process model

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant